微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 硬件电路设计 > 硬件电路设计讨论 > FPGA测量矩形波的占空比,谁能提供一些方法吗

FPGA测量矩形波的占空比,谁能提供一些方法吗

时间:10-02 整理:3721RD 点击:
用FPGA测量矩形波的占空比,想寻求一些精度高的测量方法

高频的设置闸门时间分别对高电平,低电平计数
低频在信号高电平时间低电平时间内对你的脉冲计数

能再说详细点吗

别沉啊,求大神指点

学习了

提高测量精度需要提高fpga内部计数电路的运行频率

有没有什么办法对测量信号降频但不改变占空比

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top