微波EDA网,见证研发工程师的成长!
首页 > 硬件设计 > 嵌入式设计 > 学习通用IO与外部中断

学习通用IO与外部中断

时间:11-26 来源:互联网 点击:
MSP430f5438有12组通用IO分别是从1到J,能作为外部中断引脚的只有P1和P2两个引脚;对于控制寄存器有下列几种:

PxIN是输入寄存器,

PxOUT是输出寄存器

PxDIR是输入与输出方向配置寄存器

PxREn 是下拉下拉寄存器

PxDS 是驱动增强与减弱寄存器

PxSEL是功能选择寄存器

PxIES是中断触发方式寄存器

PxIE是中断允许寄存器

PxIFG是中断标志寄存器

中断配置如下:

//外部中断优先级没有软件配置。端口0最高,端口7最低

void out_interrupt()

{

PortDIR |=BIT0; //设置端口方向

PortIFG &=0xfe; //中断标志

PortIES |=BIT0; //中断触发方式

PortIE |=BIT0; //中断开发

PortREN |=BIT0;

P2OUT |=BIT0;

}

//中断服务程序如下:

#include "msp430f5438.h"

#include "init.h"

void main( void )

{

clk_init();

out_interrupt();

P5DIR |=BIT4;

_EINT();//编译器内部开中断

while(1);

}

#pragma vector=PORT2_VECTOR

__interrupt void Port2(void)

{

__delay_cycles(1000);

if((P2IN&0xff)!=0xff)

{

P5OUT ^=BIT4;

}

PortIFG &= ~BIT0; //中断标志

}

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top