微波EDA网,见证研发工程师的成长!
首页 > 硬件设计 > 嵌入式设计 > IIC总线使用方法

IIC总线使用方法

时间:11-28 来源:互联网 点击:
#include

#define uchar unsigned char

uchar a;

sbit sda=P2^0;

sbit scl=P2^1;

void delay1(uchar z)

{

uchar x,y;

for(x=z;x>0;x--)

for(y==100;y>0;y--);

}

void delay()//延时5微秒左右

{ ;; }

void start()//起始函数,scl高电平时sda下降沿触发起始信号

{

sda=1;

delay();

scl=1;

delay();

sda=0;

delay();

}

void stop()//停止信号,scl高电平时sda下降沿触发停止信号

{

sda=0;

delay();

scl=1;

delay();

sda=1;

delay();

}

void respons()//应答信号

{

uchar i;

scl=1;

delay();

while((sda==1)&&(i<250))//scl=1时候,判断sda是否=1。sda=1时,没有应答,sda=0时,while内部为假,退出while语句。当sda总=1时,延时255时间,如果超出255时间,则while语句为假。退while循环,默认应答了。

i++;

scl=0;//应答后,将scl变成低电平,因为是第九个时钟信号,时钟完了要将电平拉低

delay();//scl=0,持续一会

}

void init()//初始化函数

{

scl=1;//总线释放(空闲)是高电平

sda=1;

}

void write_byte(uchar date)//写字节,一位一位的写,数据左移一位,一位一位的传送最高位

{

uchar i,temp;

temp=date;//要写入的值给temp

scl=0;//scl=0时候数据可以不稳定,随便变化

delay();

for(i=0;i<8;i++)

{

temp=temp<1;//temp左移一位,将要最高位放入CY,CY就是PSW.7,来源于最近一次数据软件改写的位存储区

sda=CY;//数据线上的第一帧位为temp的最高位,也就是CY当中的一位,此时数据在sda中保持稳定的0或1

delay();//数据保持4.7微秒以上,稳定

scl=1;//scl=1准备开始传送数据

delay();//在这个延时过程中将要temp的最高位,CY的值传送完毕

scl=0;//传送完毕后scl=0,此时传送数据可以不稳定

delay();

}

sda=1;//将总线释放

delay();

}

uchar read_byte()//读函数

{

uchar i,j,k;

scl=0;//scl先底电平,准备高电平时候读取数据

delay();

sda=1;//释放数据总线,因为此时不知道sda上是高电平还是低电平

delay();

for(i=0;i<8;i++)

{

scl=1;

delay();

j=sda;//将传输的数据的第一个位传送给j

k=(k<1)|j;//k是读出数据,将要k与上j(传输的位),传输什么得到什么,然后再左移,最终8次后将要一个字节传送给k

scl=0;

delay();

}

return k;

}

void main()

{

init();

start();//起始信号

write_byte(0xa0);//写器件地址,首次写从机地址1010 0000,前四位固定,后三位是外接口低电平,最后一位是写的意思

respons();//应答信号,A

write_byte(3);//写入首地址,随便写个3

respons();//应答信号,A

write_byte(0x55);//写进去一个数据

respons();//应答信号

stop();//结束信号,写入完毕

delay1(200);

start();//准备读出的开始信号

write_byte(0xa0);//首先写进去器件地址

respons();//应答信号,A

write_byte(3);//写入器件首地址

respons();//应答信号,A

start();

write_byte(0xa1);//准备读出数据,给器件地址1010 0001的意思是要读出数据

respons();//应答信号,A

a=read_byte();

stop();

P1=a;

while(1);

}

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top