微波EDA网,见证研发工程师的成长!
首页 > 硬件设计 > 嵌入式设计 > 简单的JK触发器的VHDL程序

简单的JK触发器的VHDL程序

时间:11-30 来源:互联网 点击:
简单的JK触发器
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
ENTITY JKff_1 IS
PORT (J,K:IN STD_LOGIC;
clk : IN std_logic;
Q: out STD_LOGIC);
end JKff_1;
ARCHITECTURE behave OF JKff_1 IS
signal S:STD_LOGIC;
BEGIN
S<= (J and (not S)) or (S and (not K)) when clkevent and clk=0 ;
Q<=S ;
end behave;

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top