微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 嵌入式设计讨论 > ARM技术讨论 > 中断(按键)无法进入,望各位指点

中断(按键)无法进入,望各位指点

时间:10-02 整理:3721RD 点击:
按键输入外部中断,该程序在运行到while后就无法继续下去,检查了许久不知问题出在哪,望各位指点迷津,谢谢!PC6为按键,PB13为LED。

/***************************
*
*按键输入 外部中断
*
**************************/
#include "stm32f10x.h"
#include <stdio.h>
#include "delay.h"
/***************************
函数 void RCC_Configuration(void))
功能:复位和时钟配置
参数:无
返回:无
***************************/
void RCC_Configuration(void)
{
  ErrorStatus HSEStartUpStatus;  
  RCC_DeInit();                //复位RCC外部设备寄存器到默认值
  RCC_HSEConfig(RCC_HSE_ON);   //打开外部高速晶振
  HSEStartUpStatus = RCC_WaitForHSEStartUp();  //等待外部高速时钟准备好

  if(HSEStartUpStatus == SUCCESS)   //外部高速时钟已经准备好
  {                                 
    FLASH_PrefetchBufferCmd(FLASH_PrefetchBuffer_Enable);  //开启FLASH的预取功能
    FLASH_SetLatency(FLASH_Latency_2);   //FLASH延迟2个周期  cpu比Flash工作速度快
    RCC_HCLKConfig(RCC_SYSCLK_Div1);    //配置AHB(HCLK)时钟=SYSCLK
    RCC_PCLK2Config(RCC_HCLK_Div1);  //配置APB2(PCLK2)钟=AHB时钟    最大72M
    RCC_PCLK1Config(RCC_HCLK_Div2);   //配置APB1(PCLK1)钟=AHB 1/2时钟     最大36M
    RCC_PLLConfig(RCC_PLLSource_HSE_Div1, RCC_PLLMul_9);   //配置PLL时钟 == 外部高速晶体时钟*9  PLLCLK = 8MHz * 9 = 72 MHz   乘法因子:9
    RCC_PLLCmd(ENABLE);  //使能PLL时钟
    while(RCC_GetFlagStatus(RCC_FLAG_PLLRDY) == RESET) //等待PLL时钟就绪
    {
    }
    RCC_SYSCLKConfig(RCC_SYSCLKSource_PLLCLK);  //配置系统时钟 = PLL时钟
    while(RCC_GetSYSCLKSource()!= 0x08)  //检查PLL时钟是否作为系统时钟
    {
    }
  }
}

/***************************
函数 void GPIO_Cofiguration(void)
功能:GPIO配置
参数:无
返回:无
***************************/
void GPIO_Cofiguration(void)
{
GPIO_InitTypeDef GPIO_InitStructure; //类型定义声明
RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOB|RCC_APB2Periph_GPIOC,ENABLE); //使能B和C端口的时钟
GPIO_InitStructure.GPIO_Pin=GPIO_Pin_6;
GPIO_InitStructure.GPIO_Mode=GPIO_Mode_IPU; //PC6配置为上拉输入
GPIO_Init(GPIOC,&GPIO_InitStructure);
GPIO_ResetBits(GPIOB,GPIO_Pin_13);
GPIO_InitStructure.GPIO_Pin=GPIO_Pin_13;
GPIO_InitStructure.GPIO_Speed=GPIO_Speed_50MHz;
GPIO_InitStructure.GPIO_Mode=GPIO_Mode_Out_PP; //PB13配置为推挽输出
GPIO_Init(GPIOB,&GPIO_InitStructure);
}

/***************************
函数 void EXTI_Configuration(void)
功能:EXTI配置
参数:无
返回:无
***************************/
void EXTI_Configuration(void)
{
EXTI_InitTypeDef EXTI_InitStructure;             //类型定义声明
RCC_APB2PeriphClockCmd(RCC_APB2Periph_AFIO,ENABLE);  //使能交替功能IO时钟
EXTI_ClearITPendingBit(EXTI_Line6); //清除EXTI_6的行挂起
EXTI_InitStructure.EXTI_Mode=EXTI_Mode_Interrupt;  //EXTI线配置为中断请求
EXTI_InitStructure.EXTI_Trigger=EXTI_Trigger_Falling;    //下降沿触发
EXTI_InitStructure.EXTI_Line = EXTI_Line6;      //PC6作为中断输入
EXTI_InitStructure.EXTI_LineCmd=ENABLE;
EXTI_Init(&EXTI_InitStructure);
GPIO_EXTILineConfig(GPIO_PortSourceGPIOC,GPIO_PinSource6);   //选择GPIOC引脚作6为EXTI线
/***************************
函数 void NVIC_Configuration(void)
功能:NVIC配置(中断)
参数:无
返回:无
***************************/
void NVIC_Configuration(void)
{
  NVIC_InitTypeDef NVIC_InitStructure;
NVIC_PriorityGroupConfig(NVIC_PriorityGroup_0);  //配置优先级组  0位优先级 4位子优先级
NVIC_InitStructure.NVIC_IRQChannel=EXTI9_5_IRQn;//指定中断源
NVIC_InitStructure.NVIC_IRQChannelSubPriority=1;//0组无抢断式优先级 直接设置响应式优先级
NVIC_InitStructure.NVIC_IRQChannelCmd=ENABLE;  //使能分组
NVIC_Init(&NVIC_InitStructure);     //结构体初始化
}

void EXTI9_5_IRQHandler(void)
{
if(EXTI_GetITStatus(EXTI_Line6)==RESET)
{
   //添加中断处理程序
EXTI_ClearFlag(EXTI_Line6);    //清除中断标志
  EXTI_ClearITPendingBit(EXTI_Line6);  //清除EXTI的行起挂线
  
   GPIO_SetBits(GPIOB,GPIO_Pin_13);
  delay_ms(500);
   GPIO_ResetBits(GPIOB,GPIO_Pin_13);
     delay_ms(500);
}
}
/***************************
函数 int main(void)
功能:main函数
参数:无
返回:无
***************************/
int main(void)
{
RCC_Configuration();
GPIO_Cofiguration();
NVIC_Configuration();
EXTI_Configuration();
  while(1);
}











对于这个程序我看不出问题,可能硬件电路的原因吧

弄了好久没改出来,就参照了别人的写法弄出来了,配置什么的没怎么改动,还真不知道错在哪边了。谢谢!

我帮你找到错误了,void EXTI9_5_IRQHandler(void)
{
if(EXTI_GetITStatus(EXTI_Line6)==RESET)这里if判断错了应该改为SET

不用改的 我的按键按下是低电平 if(EXTI_GetITStatus(EXTI_Line6)==RESET)就是判断是否按下的  按下了 则怎么怎么样

这个是中断标志,reset是没有中断产生

EXTI_GetITStatus 检查特定的exti 是否被置位有效电平。这个实验我换了其他方法实现了,谢谢指导

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top