微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 嵌入式设计讨论 > MCU和单片机设计讨论 > 这里有12864液晶屏Verilog驱动程序设计和自制十六进制字库

这里有12864液晶屏Verilog驱动程序设计和自制十六进制字库

时间:10-02 整理:3721RD 点击:
    在开发板上安装一个128×64点阵的液晶屏显示器要比数码管显示更方便有趣。本人用Verilog HDL写了FM12864J图形点阵液晶显示器的驱动程序、帧控制程序和十六进制字符字库。想参考这些设计的烧友可到请到《自己设计制作CPU与单片机》一书的附录网址http://pan.baidu.com/s/1hqxe9Ha下载,附录F、G、H就是。

最近正需要这个,谢小编

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top