微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 硬件电路设计 > TI模拟硬件电路设计 > 无法在C程序下调试程序,求助!

无法在C程序下调试程序,求助!

时间:10-02 整理:3721RD 点击:
请教下高手
我在用CCS调试c程序的时候
为什么只能在反汇编下单步,而无法在c程序下进行单步运行?
每次开始点击go main就自动转到反汇编下开始运行,在c中设置断点是无效的。
我ccs中source stepping按键是无效的!

我是开发2182的c程序。

这个小问题捆饶我很久!严重浪费了我的时间,急盼高手解答!谢谢!

Build options中的Generate Debug Info你选的是Full Symbolic Debug么?



我在这个地方是选择的Full Symbolic Debug,我也尝试了其他选择,结果也是一样的。

会不会是程序可能有问题才导致这个问题了?,因为其他的程序是可以在c中调试的。也就是可以source setp into/over,但是我这个程序就不能source setp into/over.


有可能是因为有软件流水,执行的时候不再是和C语言代码一一对应了,只能调到汇编指令。
你把软件流水关掉试试吧。在编译选项里加上 -mu

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top