微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微波和射频技术 > RFIC设计学习交流 > 这个def文件怎么改成Verilog-A文件?

这个def文件怎么改成Verilog-A文件?

时间:10-02 整理:3721RD 点击:
用spectre仿真时,模型库里有个res.def文件,看名字是电阻模型定义文件,但仿真的时候总是出错,
提示:
FATAL (VACOMP-2096): File '/home/Cadence/../models/spectre/res.def' does not appear to be a valid Verilog-A file. In previous releases, '.def' was a file extension typically used for SpectreHDL files but SpectreHDL is no longer supported. If this file is a SpectreHDL file, you need to replace it with an equivalent Verilog-A file.

怎样才能转换为Verilog-A格式的文件呢?求各位不吝赐教谢谢了

res.def 内容如下:
module polyres_hdl (n2, n1, ctrl2, ctrl1) (wr, lr, vc1, vc2, rtemp, tc1, tc2, etch, tnom, rsh0)
node [V, I] n2, n1, ctrl2, ctrl1;
parameter real lr=0.0;
parameter real wr=0.0;
parameter real rtemp=$temp() - 273.15;
parameter real vc1 = 0;
parameter real vc2 = 0;
parameter real tc1 = 0;
parameter real tc2 = 0;
parameter real etch = 0;
parameter real tnom = 25.0;
parameter real rsh0 = 1;
{
real dt, absv, tcoef, vcoef, r0;
initial
{
}
analog
{dt = (rtemp - tnom);
absv = abs(V(ctrl2, ctrl1));
tcoef = 1.0 + dt * (tc1 + dt * tc2);
vcoef = 1.0 + absv * (vc1 + absv * vc2);
r0 = rsh0 * lr / ( wr - 2.0 * etch ) * tcoef * vcoef;
V(n2, n1) <- I(n2, n1) * r0;
}
final
{
}
}
module diffres_hdl (n2, n1, ctrl2, ctrl1) (wr, lr, rtemp, vc1, vc2, tc1, tc2, etch, tnom, rsh0)
node [V, I] n2, n1, ctrl2, ctrl1;
parameter real lr=0.0;
parameter real wr=0.0;
parameter real rtemp=$temp() - 273.15;
parameter real vc1 = 0;
parameter real vc2 = 0;
parameter real tc1 = 0;
parameter real tc2 = 0;
parameter real etch = 0;
parameter real tnom = 25.0;
parameter real rsh0 = 1;
{
real dt, absv, tcoef, vcoef, r0;
initial
{
}
analog
{dt = (rtemp - tnom);
absv = V(ctrl2, ctrl1);
tcoef = 1.0 + dt * (tc1 + dt * tc2);
vcoef = 1.0 + absv * (vc1 + absv * vc2);
r0 = rsh0 * lr / ( wr - 2.0 * etch ) * tcoef * vcoef;
V(n2, n1) <- I(n2, n1) * r0;
}
final
{
}
}

有人么有人么。

同问。小编解决了么。

我是找工艺厂家要了一个替换文件,复制过去就可以正常用了,你也可以去厂家问问。

参见:
http://bbs.eetop.cn/viewthread.php?tid=393251

小编,可否把你向厂家要的文件已发我一份你,我邮箱1172730448@qq.com,万分感谢!

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top