微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 嵌入式设计讨论 > MCU和单片机设计讨论 > 如果在定义端口时 端口上有复用的 还有通用的怎么定义

如果在定义端口时 端口上有复用的 还有通用的怎么定义

时间:10-02 整理:3721RD 点击:

如果在定义端口时   端口上有复用的  还有通用的  如何定义啊?

使用预编译命令
#if "控制符A"
#define  “要定义内容”
#elseif “控制符B”
#define “要定义内容”
#endif

顶一下!顶一下!

童鞋 你想问什么 问题呢     提问的话我建议参考一下这个  http://bbs.elecfans.com/jishu_426327_1_1.html

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top