微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微波和射频技术 > RFIC设计学习交流 > 关于zigbee数字基带OQPSK调制

关于zigbee数字基带OQPSK调制

时间:10-02 整理:3721RD 点击:
请问实现OQPSK调制器时,我用verilog实现到产生I/Q两路码片串,且Q路延迟,接下来如何用verilog实现半正弦成型?是要用到滤波器吗?

一般来说脉冲成型滤波器都是在数字域实现的。需要对I/Q两路数据做内插,然后再通过一个FIR脉冲成型滤波器。再通过一个理想DAC。建议先用matlab仿一下。http://www.mathworks.com/help/toolbox/dsp/ref/pulseshapingfilter.html

谢谢!

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top