微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微波和射频技术 > RFIC设计学习交流 > 怎么用Verilog-A写一个带复位信号的D触发器

怎么用Verilog-A写一个带复位信号的D触发器

时间:10-02 整理:3721RD 点击:
论坛对verilog-A支持不够啊,想写一个带复位信号的D触发器,但是这个reset信号不知道怎么添加进去或者用什么方式表示出来。求帮助

D触发器不是可以用Verilog写么,为什么一定要用verilog-a?

@(V(clk)-vtrans,1)beginif(V(rst)>vtrans)复位else……
这样可以吗?

真心觉得用verilog写会速度比较快...

在ahdlLib中有d_ff cell,填上reset 信号就好了。
我想是不是可以先把reset 和clk 先与一下,不过具体问题还要具体分析。

带有使能端怎么写。

我以前问过的一个帖子,希望对你有帮助http://bbs.eetop.cn/thread-358158-1-1.html

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top