微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微波和射频技术 > RFIC设计学习交流 > NClaunch仿真Verilog-AMS问题

NClaunch仿真Verilog-AMS问题

时间:10-02 整理:3721RD 点击:







编译的时候显示找不到include文件,
还出现一些莫名其妙的错误如图,语法肯定是没问题的啊?却显示缺少“;”或是缺少“(”,不太明白为什么,求助高人指点!
谢谢啦

要怎样才可以“open include file”“”呢

有没有高手在呢!求助~

NClaunch可以仿真 verilog-A 吗?软件支持吗?

可以吧……

只有介绍cadence的AMS可以仿真verilog-A以及和verilog-HDL混合仿真,Hspice可以单独仿真Verilog-A, NCLaunch貌似不能访verilog-A啊?,我对此也很疑惑,看看谁能回答这个问题。

it does not work when simulating va

但是我看仿真界面中有*.vams选项啊~不可以用吗?

还是来用cadence的verilog-AMS吧,我准备学着来用了。

用Cadence AMS的话,可以仿真一个module中调用另一个module的程序吗?
谢谢

应该可以吧,支持嵌套、数模混合的。我还没用过,呵呵。

谢谢,正在研究晕头转向的~

nclaunch 界面在ncvlog的时候有一个选项是加入调用文件路径的吧,命令好像是-incdir+...
不确定可以试试看

我试着变了个脚本仿真,能通过,这个还没有试过,谢谢啦,待会儿试试

只做过数模混合的,嵌套神马的没用过呀..

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top