微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微波和射频技术 > RFIC设计学习交流 > pipelineAD在 simulink中仿真结果出现毛刺

pipelineAD在 simulink中仿真结果出现毛刺

时间:10-02 整理:3721RD 点击:

如题。仿真结果波形如图。搞不明白什么原因,请大侠指教啊。仿真为理想的仿真.图中中间波形为数字输出码,里面有不规则的跳变

,即毛刺,不知道为什么,请高人指教

顶一下。有点乱。呵呵

simulink仿得?应该是基准没设置好或者校正没弄好。使有些位错了

可能是时钟的关系没弄对,你看看时钟的是不是该反相的没反相。

顶一下

问题解决了,是校正延迟没设置好,多谢大侠!

不错!

谢谢小编

dddddddddddddddd

学习了

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top