微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 无线和射频 > TI蓝牙设计交流 > 用IAR编译Bluetopia协议栈时提示库文件找不到,如何解决?

用IAR编译Bluetopia协议栈时提示库文件找不到,如何解决?

时间:10-02 整理:3721RD 点击:

在官方下载的Bluetopia协议栈(MSP430), V1.4 R2
CC256XMSPBTBLESW: Stonestreet One BT+BLE Stack on MSP430

安装完成后,用IAR,打开工程范例,编译已经通过,在链接时提示错误

Error[e46]: Undefined external "AUD_Un_Initialize" referred in A3DPDemo_SNK ( C:\ti\Connectivity\v1.4_R2\MSP430_Experimentor\Samples\A3DPDemo_SNK\Projects\IAR\Debug - MSP430F5438A Exp\Obj\A3DPDemo_SNK.r43 )

没有把对应的库文件添加进来。

问题:
(1) 怎么在IAR中添加库文件?
——工程-》属性--》Linker --》Config --》Search paths
尝试1,把Bluetopia的库路径添加进来了,还是不行;
C:\ti\Connectivity\CC256X BT\CC256x MSP430 Bluetopia SDK\v1.4 R2\MSP430_Experimentor\Bluetopia\lib\IAR\DefaultMTU
尝试2,把库路径,包含库名字,添加进来,还是错误;
C:\ti\Connectivity\CC256X BT\CC256x MSP430 Bluetopia SDK\v1.4 R2\MSP430_Experimentor\Bluetopia\lib\IAR\DefaultMTU\libBluetopia.a

(2) IAR支持的库,是不是只能与Lib结尾?
Bluetopia提供的库,是以 .a结尾的。

经过试验,问题已经解决。

解决方法:

——工程-》属性--》Linker --》 Extra Opitions

 把 Use command .. 勾选上:

在下边空白的地方,添加上需要的库

-C  $PROJ_DIR$\..\..\..\..\Bluetopia\lib\IAR\LargeMTU\libBluetopia.a

-C  $PROJ_DIR$\..\..\..\..\Bluetopia\profiles\A3DP\lib\IAR\libSS1BTA3D_C.a

祥子,

请参考此帖:

http://www.deyisupport.com/question_answer/wireless_connectivity/bluetooth/f/103/p/65648/151725.aspx#151725

IAR包含文件路径方法 我总结一下,以后有文件路径的报错 你可以参考一下

1) 在定义包含文件路径的文本框中,定义包含文件的路径有两种很重要的语法:
一是$TOOLKIT_DIR$,这个语法表示包含文件的路径在IAR安装路径的8051文件夹下,也就是说如果IAR安装在C盘中,哪么它就表示C:\Program Files\IAR Systems\Embedded Workbench 4.05 Evaluation version\8051这个路径。
二是$PROJ_DIR$,这个语法表示包含文件的路径在工程文件中,也就是和eww文件和ewp文件相同的目录。我们刚此建立 的 project 项 目 中 , 如果使用了这个语言,哪么就表示现在这个文件指向了C:\Documents and Settings\Administrator\桌面\project这个文件夹。

和这两个语法配合使用的还有两个很重要的符号,这就是“\..”和“\文件夹名”。
\..:表示返回上一级文件夹
\文件夹名:表示进入名为“文件夹名”的文件夹。
我们来具体看两个例子:
$TOOLKIT_DIR$\inc\ : 这 句 话 的 意 思 是 包 含 文 件 指 向 C:\Program Files\IAR Systems\Embedded Workbench 4.05 valuation version\8051\inc。
$PROJ_DIR$\..\Source:这句话的意思是包含文件指向工程目录的上一级目录中的Source文件夹中。例如:假设我们的工程放在:\project\IAR中,哪么$PROJ_DIR$\..\就 将路径指向了D:\project中,再执行\Source,就表示将路径指向了D:\project\Source中。

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top