微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 嵌入式设计讨论 > MCU和单片机设计讨论 > 小白想设计一个键盘输入初值的时钟,但是遇到好些困难 ....

小白想设计一个键盘输入初值的时钟,但是遇到好些困难 ....

时间:10-02 整理:3721RD 点击:
用4x4矩阵键盘给时钟付初值然后行成一个可以走秒的时钟啊?

#include<reg52.h>
sbit wela=P2^7;
sbit dula=P2^6;
sbit key1=P3^4;
sbit key2=P3^5;
sbit key3=P3^6;
sbit key4=P3^7;
sbit beep=P2^3;
unsigned char code table[]={
                              0x3f,0x06,0x5b,0x4f,
                              0x66,0x6d,0x7d,0x07,
                              0x7f,0x6f,0x77,0x7c,
                              0x39,0x5e,0x79,0x71
                              };
unsigned char code table1[]={ 0xfe,0xfd,0xfb,0xf7,0xef,0xdf};
unsigned int tt;
unsigned int sec,fen,hr;
void init();
void scankey();
void beeping();
void display(hr,fen,sec);
void delay(z);
void main()
{
  init();
  while(1)
  {
    //clock();
    scankey();
    display(hr,fen,sec);
  }
}
/*****************
初始化程序,char型最大值为255可以调整时间
进行整点报时的时钟
*****************/
void init()
{
  //num=0;
  hr=fen=sec=0;
  TMOD=0x01;
  TH0=(65536-10000)/256;
  TL0=(65536-10000)%256;
  EA=1;
  ET0=1;
  TR0=1;
}
/************
定时器中断
*************/
void timer0() interrupt 1
{
  TH0=(65536-10000)/256;
  TL0=(65536-10000)%256;
  tt++;
  if(tt ==100)
   {  
     tt=0;
     sec++;
     if(sec ==60)
      {
        sec=0;
        fen++;
        if(fen ==60)
         {
           fen=0;
           hr++;
           if(hr ==24)
             {
               hr =0;
             }
         }
      }
  }
  beeping();
  //display(hr,fen,sec);
}
/*******************/     
     /*num++;
     miao_ge=num%60%10;
     miao_shi=num%60/10;
     fen_ge=num/60%10;
     fen_shi=num/60/10;
     if(num == 3600)
       {
          num=0;
          hr++;
          if(hr ==24) hr=0;
       }
     hr_ge=hr%10;
     hr_shi=hr/10;*/  
/**************/
void display(hr,fen,sec)
{  
  wela=1;
  P0=0xfe;
  wela=0;
  P0=0x00;
  dula=1;
  P0=table[hr/10];
  dula=0;
  P0=0xff;
  delay(1);  

  wela=1;
  P0=0xfd;
  wela=0;
  P0=0x00;
  dula=1;
  P0=table[hr%10];
  dula=0;
  P0=0xff;
  delay(1);
  
  wela=1;
  P0=0xfb;
  wela=0;
  P0=0x00;
  dula=1;
  P0=table[fen/10];
  dula=0;
  P0=0xff;
  delay(1);
  wela=1;
  P0=0xf7;
  wela=0;
  P0=0x00;
  dula=1;
  P0=table[fen%10];
  dula=0;
  P0=0xff;
  delay(1);

  wela=1;
  P0=0xef;
  wela=0;
  P0=0x00;
  dula=1;
  P0=table[sec/10];
  dula=0;
  P0=0xff;
  delay(1);  
  wela=1;
  P0=0xdf;
  wela=0;
  P0=0x00;
  dula=1;
  P0=table[sec%10];
  dula=0;
  P0=0xff;
  delay(1);
}
/***********
延时程序
**************/
void delay(unsigned int z)
{
  unsigned int x,y;
  for(x=z;x>0;x--)
   for(y=110;y>0;y--);
}
/************/
void scankey()
{
  int i,j,flag_key;
   i=j=flag_key=0;
  if(key1!=1)
   {
     delay(10);
     if(key1!=1)
       {
         TR0=1;   
       }
   }
   if(key2!=1)
  {
    delay(10);
    if(key2!=1)
     {
       TR0=0;
       hr++;
       if(hr ==24) hr=0;
       while(key2!=1) display(hr,fen,sec);
     }
  }
   if(key3!=1)
   {
     delay(10);
     if(key3!=1)
     {
       TR0=0;
       fen++;
       if(fen ==60) fen=0;
       while(key3!=1) display(hr,fen,sec);
      }
   }
  if(key4!=1)
   {
     delay(10);
     if(key4!=1)
       {
         TR0=0;
         sec++;
         if(sec ==60) sec=0;
         while(key4!=1) display(hr,fen,sec);
       }
   }  
}
void beeping()
{
  beep=1;
  if(fen==0 && sec ==0)
   {
     beep=0;
   }
}

这个程序比较简单,就是能调整时间,分四个按键,key1是启动定时器,key2和key3,key4分别是调整时分秒的功能,同时带有停止定时器的功能。认真看一下很好懂不是很复杂的。还有一处关键点就是时间转换上。

4*4的按键这个实现起来有点关键就在于数据的存储,需要对输入的数值进行存储涉及到参数的传递。你看看这个程序应该就会做了,我把计算器的程序给你发一下,两个程序结合着看,应该就懂了。要是还不明白,那就先看基础了。

#include<reg52.h>
sbit dula=P2^6;
sbit wela=P2^7;
sbit beep=P2^3;
unsigned char code table[]={
                                0x3f,0x06,0x5b,0x4f,
                                0x66,0x6d,0x7d,0x07,
                                0x7f,0x6f,0x77,0x7c,
                                0x39,0x5e,0x79,0x71,0x00
                              };
unsigned char z,num,wei,a,b,c,d,e,f,s1,s2,s3,s4,s5,s6,jia,jian,cheng,deng,chu,qingling,gongneng;
unsigned int flag_num,flag_num1,num1,num2,num3;
void keyscan();
void display(a,b,c,d,e,f);
void buf();
void delay(z);
/*******/
关键在于按键输入到LED中能够实现移位显示,在按键子程序中增加了对位的计算,同时显示子程序display()中
共有6位形参,程序中通过参数的传递实现了对数字的存储。显示子函数中delay()很重要不然会显示出错。
/**************/
void main()
{
  num=0;wei=0;
  a=b=c=d=e=f=16;
  s1=s2=s3=s4=s5=s6=0;
  jia=jian=cheng=chu=deng=qingling=0;
  flag_num=0;
  flag_num1=0;
  num1=num2=num3=0;
  while(1)
  {
    gongneng=jia|jian|cheng|chu;
    keyscan();
    buf();
    if(gongneng == 1)
      {
         switch(wei)
         {
           case 1:num1=s1;
            break;
           case 2:num1=s1*10+s2;
            break;
           case 3:num1=s1*100+s2*10+s3;
            break;
           case 4:num1=s1*1000+s2*100+s3*10+s4;
            break;
           case 5:num1=s1*10000+s2*1000+s3*100+s4*10+s5;
            break;
           case 6:num1=s1*100000+s2*10000+s3*1000+s4*100+s5*10+s6;
            break;
         }
         flag_num=1;
         wei=0;
         if(jia==1)
          {
            while(flag_num==1)
              {
                  keyscan();
                  buf();
                   if(deng == 1)
                   {
                      switch(wei)
                       {
                         case 1:num2=s1;
                           break;
                         case 2:num2=s1*10+s2;
                            break;
                         case 3:num2=s1*100+s2*10+s3;
                             break;
                         case 4:num2=s1*1000+s2*100+s3*10+s4;
                           break;
                         case 5:num2=s1*10000+s2*1000+s3*100+s4*10+s5;
                              break;
                         case 6:num2=s1*100000+s2*10000+s3*1000+s4*100+s5*10+s6;
                          break;
                       }
                       wei=0;
                       num3=num1+num2;
                       if(num3<10)
                             {
                              a=16;
                              b=16;
                              c=16;
                              d=16;
                              e=16;
                              f=num3;
                             }
                       if(num3<100 && num3>=10)//关系运算符>=
                            {
                              a=16;
                              b=16;
                              c=16;
                              d=16;
                              e=num3/10;
                              f=num3%10;
                             }      
                         if(num3<1000 && num3>=100)
                            {
                              a=16;
                              b=16;
                              c=16;
                              d=num3/100;
                              e=num3%100/10;
                              f=num3%100%10;
                             }
                         if(num3<10000 && num3>=1000)
                            {
                              a=16;
                              b=16;
                              c=num3/1000;
                              d=num3%1000/100;
                              e=num3%1000%100/10;
                              f=num3%100%100%10;
                             }
                         if(num3<100000 && num3>=10000)
                            {
                              a=16;
                              b=num3/10000;
                              c=num3%10000/1000;
                              d=num3%10000%1000/100;
                              e=num3%10000%1000%100/10;
                              f=num3%10000%1000%100%10;
                             }
                           if(num3<1000000 && num3>=100000)
                            {
                              a=num3/100000;
                              b=num3%100000/10000;
                              c=num3%100000%10000/1000;
                              d=num3%100000%10000%1000/100;
                              e=num3%100000%10000%1000%100/10;
                              f=num3%100000%10000%1000%100%10;
                             }
                      flag_num1=1;
                      while(flag_num1 ==1)
                      {
                        display(a,b,c,d,e,f);
                        keyscan();
                        if(qingling ==1)
                         {
                         flag_num=0;
                         flag_num1=0;
                         wei=0;
                         a=b=c=d=e=f=16;
                         s1=s2=s3=s4=s5=s6=0;
                         jia=jian=cheng=chu=deng=qingling=0;
                         num1=num2=num3=0;
                        }
                     }
                   }
                }
             }
       if(jian==1)
          {
            while(flag_num==1)
              {
                  keyscan();
                  buf();
                   if(deng == 1)
                   {
                      switch(wei)
                       {
                         case 1:num2=s1;
                           break;
                         case 2:num2=s1*10+s2;
                            break;
                         case 3:num2=s1*100+s2*10+s3;
                             break;
                         case 4:num2=s1*1000+s2*100+s3*10+s4;
                            break;
                         case 5:num2=s1*10000+s2*1000+s3*100+s4*10+s5;
                           break;
                         case 6:num2=s1*100000+s2*10000+s3*1000+s4*100+s5*10+s6;
                          break;
                       }
                       wei=0;
                       num3=num1-num2;
                       if(num3<10)
                             {
                              a=16;
                              b=16;
                              c=16;
                              d=16;
                              e=16;
                              f=num3;
                             }
                       if(num3<100 && num3>=10)
                            {
                              a=16;
                              b=16;
                              c=16;
                              d=16;
                              e=num3/10;
                              f=num3%10;
                             }      
                         if(num3<1000 && num3>=100)
                            {
                              a=16;
                              b=16;
                              c=16;
                              d=num3/100;
                              e=num3%100/10;
                              f=num3%100%10;
                             }
                         if(num3<10000 && num3>=1000)
                            {
                              a=16;
                              b=16;
                              c=num3/1000;
                              d=num3%1000/100;
                              e=num3%1000%100/10;
                              f=num3%100%100%10;
                             }
                         if(num3<100000 && num3>=10000)
                            {
                              a=16;
                              b=num3/10000;
                              c=num3%10000/1000;
                              d=num3%10000%1000/100;
                              e=num3%10000%1000%100/10;
                              f=num3%10000%1000%100%10;
                             }
                           if(num3<1000000 && num3>=100000)
                            {
                              a=num3/100000;
                              b=num3%100000/10000;
                              c=num3%100000%10000/1000;
                              d=num3%100000%10000%1000/100;
                              e=num3%100000%10000%1000%100/10;
                              f=num3%100000%10000%1000%100%10;
                             }
                         flag_num1=1;
                      while(flag_num1 ==1)
                      {
                        display(a,b,c,d,e,f);
                        keyscan();
                        if(qingling ==1)
                         {
                         flag_num=0;
                         flag_num1=0;
                         wei=0;
                         a=b=c=d=e=f=16;
                         s1=s2=s3=s4=s5=s6=0;
                         jia=jian=cheng=chu=deng=qingling=0;
                         num1=num2=num3=0;
                        }
                      }
                   }
                }
             }
         if(cheng==1)
          {
            // wei=0;
            while(flag_num==1)
              {
                  keyscan();
                  buf();
                   if(deng == 1)
                   {
                      switch(wei)
                       {
                         case 1:num2=s1;
                           break;
                         case 2:num2=s1*10+s2;
                            break;
                         case 3:num2=s1*100+s2*10+s3;
                             break;
                         case 4:num2=s1*1000+s2*100+s3*10+s4;
                            break;
                         case 5:num2=s1*10000+s2*1000+s3*100+s4*10+s5;
                           break;
                         case 6:num2=s1*100000+s2*10000+s3*1000+s4*100+s5*10+s6;
                          break;
                       }
                       wei=0;
                       num3=(num1*num2);
                       if(num3<10)
                             {
                              a=16;
                              b=16;
                              c=16;
                              d=16;
                              e=16;
                              f=num3;
                             }
                       if(num3<100 && num3>=10)
                            {
                              a=16;
                              b=16;
                              c=16;
                              d=16;
                              e=num3/10;
                              f=num3%10;
                             }      
                         if(num3<1000 && num3>=100)
                            {
                              a=16;
                              b=16;
                              c=16;
                              d=num3/100;
                              e=num3%100/10;
                              f=num3%100%10;
                             }
                         if(num3<10000 && num3>=1000)
                            {
                              a=16;
                              b=16;
                              c=num3/1000;
                              d=num3%1000/100;
                              e=num3%1000%100/10;
                              f=num3%100%100%10;
                             }
                         if(num3<100000 && num3>=10000)
                            {
                              a=16;
                              b=num3/10000;
                              c=num3%10000/1000;
                              d=num3%10000%1000/100;
                              e=num3%10000%1000%100/10;
                              f=num3%10000%1000%100%10;
                             }
                           if(num3<1000000 && num3>=100000)
                            {
                              a=num3/100000;
                              b=num3%100000/10000;
                              c=num3%100000%10000/1000;
                              d=num3%100000%10000%1000/100;
                              e=num3%100000%10000%1000%100/10;
                              f=num3%100000%10000%1000%100%10;
                             }
                       flag_num1=1;
                     while(flag_num1 ==1)
                      {
                        display(a,b,c,d,e,f);
                        keyscan();
                        if(qingling ==1)
                         {
                         flag_num=0;
                         flag_num1=0;
                         wei=0;
                         a=b=c=d=e=f=16;
                         s1=s2=s3=s4=s5=s6=0;
                         jia=jian=cheng=chu=deng=qingling=0;
                         num1=num2=num3=0;
                        }
                      }
                   }
                }
             }        
       if(chu==1)
          {
           // wei=0;
            while(flag_num==1)
              {
                  keyscan();
                  buf();
                   if(deng == 1)
                   {
                      switch(wei)
                       {
                         case 1:num2=s1;
                           break;
                         case 2:num2=s1*10+s2;
                            break;
                         case 3:num2=s1*100+s2*10+s3;
                             break;
                         case 4:num2=s1*1000+s2*100+s3*10+s4;
                            break;
                         case 5:num2=s1*10000+s2*1000+s3*100+s4*10+s5;
                           break;
                         case 6:num2=s1*100000+s2*10000+s3*1000+s4*100+s5*10+s6;
                          break;
                       }
                       wei=0;
                       num3=(num1/num2);
                       if(num3<10)
                             {
                              a=16;
                              b=16;
                              c=16;
                              d=16;
                              e=16;
                              f=num3;
                             }
                       if(num3<100 && num3>=10)
                            {
                              a=16;
                              b=16;
                              c=16;
                              d=16;
                              e=num3/10;
                              f=num3%10;
                             }      
                         if(num3<1000 && num3>=100)
                            {
                              a=16;
                              b=16;
                              c=16;
                              d=num3/100;
                              e=num3%100/10;
                              f=num3%100%10;
                             }
                         if(num3<10000 && num3>=1000)
                            {
                              a=16;
                              b=16;
                              c=num3/1000;
                              d=num3%1000/100;
                              e=num3%1000%100/10;
                              f=num3%100%100%10;
                             }
                         if(num3<100000 && num3>=10000)
                            {
                              a=16;
                              b=num3/10000;
                              c=num3%10000/1000;
                              d=num3%10000%1000/100;
                              e=num3%10000%1000%100/10;
                              f=num3%10000%1000%100%10;
                             }
                           if(num3<1000000 && num3>=100000)
                            {
                              a=num3/100000;
                              b=num3%100000/10000;
                              c=num3%100000%10000/1000;
                              d=num3%100000%10000%1000/100;
                              e=num3%100000%10000%1000%100/10;
                              f=num3%100000%10000%1000%100%10;
                             }
                       flag_num1=1;
                     while(flag_num1 ==1)
                      {
                        display(a,b,c,d,e,f);
                        keyscan();
                        if(qingling ==1)
                         {
                         flag_num=0;
                         flag_num1=0;
                         wei=0;
                         a=b=c=d=e=f=16;
                         s1=s2=s3=s4=s5=s6=0;
                         jia=jian=cheng=chu=deng=qingling=0;
                         num1=num2=num3=0;
                        }
                      }
                   }
                }
             }      
      }            
   if(qingling ==1)
     {
        flag_num=0;
        flag_num1=0;
         wei=0;
        a=b=c=d=e=f=16;
       s1=s2=s3=s4=s5=s6=0;
        jia=jian=cheng=chu=deng=qingling=0;
       num1=num2=num3=0;
     }  
  }   
}
/***********/
void keyscan()
{
  unsigned char temp;
  if(wei<8)
  {  
     if(wei==7) wei=0;
     P3=0xfe;
     temp=P3;
     temp=temp&0xf0;
     if(temp!=0xf0)
      {
        delay(10);
        temp=P3;
        temp=temp&0xf0;
        if(temp!=0xf0)
         {
           temp=P3;
           switch(temp)
            {
              case 0xee:num=1;
                       wei=wei+1;
              break;
              case 0xde:num=2;
                        wei=wei+1;
              break;
              case 0xbe:num=3;
                   wei=wei+1;
              break;
              case 0x7e:num=4;
                   wei=wei+1;
              break;
            }
           temp=temp&0xf0;
           while(temp!=0xf0)
            {
              temp=P3;
            &nb

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top