微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 硬件电路设计 > TI模拟硬件电路设计 > TL3116测量脉冲占空比问题

TL3116测量脉冲占空比问题

时间:10-02 整理:3721RD 点击:

TI技术人员,你好!

    我想请教几个问题:

    1、TL3116测量脉冲占空比时,频率达到1M以上时,测量就不太准确

    2、除了TL3116外,还有那些类型的比较器芯片可以测量脉冲占空比

    3、测量脉冲占空比,要注意哪些因素

请问测量的精度是多少?测量的输入信号是啥? 1MHz的信号占空比的分辨率是多少?

测量的相对误差绝对值不大于 0.01   输入信号为脉冲信号  分辨率达到百分之0.1

目前你处测量的精度如何?

是否可以详细描述你处测量原理,测量周期还是计数的办法?抑或其他的测量方法。

在硬件上,可以用示波器观察比较器输出是否有毛刺,导致后端电路误判

上图是我画的原理图 , 测量原理:是通过测周期 的方式,  测量精度 不稳定

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top