微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 硬件电路设计 > TI模拟硬件电路设计 > ads8344使用问题

ads8344使用问题

时间:10-02 整理:3721RD 点击:

我用普通的飞思卡尔单片机与ADS8344通信采集电压,写程序读不出电压值,请问有没有例程代码?

首先先检查你的串口通信是否正常,在保证mcu和ADC通信正常的情况下再去一步一步调试。有关串口通信的设置,请看datasheet 12页。另外ADC时钟的设置,参考电压以及输入电压的信号特征都可能引起读不出数据。所以请提供详细的测试报告,并且保证ADC工作起来,才能分析是哪里出了问题。MUC的选型也可以评估一下TI的msp430,每个型号都有相应的例程,使用方便。

另外,TI暂时还不提供ADS8344的代码。

1. 你是用单端还是差分?A2A1A0怎么写的?用内部时钟还是外部时钟?

2. 从手册12页时序,Dout上出现的,应该是上次的转换结果,是否用程序把数字接口的时序写成如图3所示?

您好,我用的MSP430和ADS8344通信,使用IO口模拟SPI通信,用的外部时钟,每次转化使用32个时钟周期的时序图,参考电压3.3V,电源电压5V,但是读不出电压值。请问这是哪里的原因?

请问,后来你的ADS8344调试成功了吗?

有参考程序吗

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top