微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 嵌入式设计讨论 > FPGA,CPLD和ASIC > 数字信号放大与缩小

数字信号放大与缩小

时间:10-02 整理:3721RD 点击:
请教各位大虾,在fpga中,如何对数字信号进行放大与缩小,左移或者右移只能实现放大或缩小2^n倍,我想任意放大倍数,要怎么实现,谢谢各位赐教!

乘法器

乘法器怎么实现,能否具体说下,谢谢!

右移1bit,低位补0,既是乘以2

·调用xilinxFPGA内部的乘法器核,可实现18*18位的无符号乘法运算,当你对时序没有什么要求的时候可以考虑。

用内部的乘法器Ip核能实现任意倍数的放大,你说的都是2^n倍我不是太理解,任何一个数都能用二进制表示的,比如把a放大3倍,先将a左移一位,然后和a相加不就放大3倍了。

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top