微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 嵌入式设计讨论 > FPGA,CPLD和ASIC > 关于SystemC中数据类型的问题

关于SystemC中数据类型的问题

时间:10-02 整理:3721RD 点击:
小弟现在再用Systemc进行系统及RTL建模,但是其中的SC_UNIT<>数据类型在编译时却发现报错为:
"undeclared identifier",小弟用编译环境VC++、SystemC、ModelSim进行时都是次错误。我参考了
《systemc片上系统设计后》严格按照了它的方法,可是还是不能编译!当换作REDHAT LINUX9.0+GCC后错误仍然不能克服,请达人指点一下!小弟感激涕零!
#####源文件如下: #include "systemc.h"#####
//描述了一个简单的计数器
const int CSIZE=4;
SC_MODULE(cnt) {
sc_in<bool> clk,clr,updown;
sc_out<sc_unit<CSIZE> >dout;
void do_cnt();
SC_CTOR(cnt) {
SC_METHOD(do_cnt);
sensitive_pos << clk;
sensitive_pos << clr;
}
};
#include "cnt.h"
void cnt::do_cnt() {
if(clr==1) dout=0;
else
{
if(updown) dout=dout.read()+1;
else
dout=dout.read()-1;
}
};

[求助]关于SystemC中数据类型的问题
我看也没有错误呀
不过没有运行过
同时请教安装master/slave库时里面没有configure文件,你安装了么?

sc_out<sc_uinit<CSIZE> >dout;
写错了吧,sc_unit--->sc_uint

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top