微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 嵌入式设计讨论 > FPGA,CPLD和ASIC > ieee.numeric_std

ieee.numeric_std

时间:10-02 整理:3721RD 点击:
在程序中用到use ieee.numeric_std.all时有错误
说在ieee中找不到 ieee.numeric_std.all。
ieee.numeric_std这个包不是自带的吗

ieee.numeric_std
当做运算方面的编程十,我一般调用ieee.std_logic_arith.all和unsigned/signed库.

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top