微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 测试测量 > 虚拟仪器 > 二进制文件读写封装

二进制文件读写封装

时间:12-13 整理:3721RD 点击:
    手头程序用到二进制文件读写的,自己做了一下封装,包括了I32、Single、String、I32一维、二维数组、Single一维、二维数组、String一维、二维数组的读写,BinReadWriteDemo是这些封装使用的Demo,如果有谁需要用到二进制文件的话拿来稍微做点改动就可以用了,可以省点事:)
    字符串的读写有bug,我跟了一下,发现包含字符串长度的情况下,表示字符串长度的4个字节,高低位顺序选择little-endian与选择big-endian的顺序是一样的,都是先高后低,这样就导致了读取的时候如果仍然选择little-endian就会出错。但是String一维、二维数组的读写就没有这个bug。封装里绕开了这个bug,已经可以正常使用了。哪位如果有时间,可以测试一下在cluster里String的读写还有没有bug。

 BinFileIO.rar

熊猫真是好熊猫
奖励兔子一只
兔子快出来

兔子是啥?

某人ID

不错

8.2:(

昨晚睡觉的时候想起来忘记转8.0的了。。。。
稍等

劳模你好

作了一些修改,另存为了8.0的文件

好同志啊!尽管简单了点但很有借鉴性。。。

呵呵,我做这个封装就是为了避免代码太乱了,里面的东西都不复杂

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top