微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 测试测量 > 虚拟仪器 > 读文件的问题

读文件的问题

时间:12-13 整理:3721RD 点击:
2006-05-25 11:14:59
1
12800
1.000E+0 M
1.024E+1 s
1
1
v
请问大家如何读取第一行数据,我用read  from  spreedsheet file  只能读到2006,其余的都读不出来

读第一行
然后scan from string

可以一个字符一个字符的读!

因为你的read from spreadsheet的输出(all rows)是DBL,把它另存一下,自己打开把类型改一下,改成string就可以了

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top