微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 测试测量 > 虚拟仪器 > 如何从TXT文件里读数据出来啊?

如何从TXT文件里读数据出来啊?

时间:12-13 整理:3721RD 点击:
可以从正在写入的TXTQ文件读吗?
那如果从已经保存的文件里读要怎么做呢?

最好不要同时读和写同一个txt文件
我就这么干过,结果有些数据就消失掉了

filetoarray

不过现在面临一个情况,如何实现用VC做的程序读成TXT文件后,交给LV处理,既然数据是实时采集,那么职能这样,M$又没有象NIX的PIPE机制

我觉得大数据量的读写(或是实时监测)还是用二进制读写比较好,虽然它生成的*.bin文件不太直观。但是使用了较低形式的存储时,我们可以选择是替代原文件,还是生成新文件,抑或是追加至原文件后面。读的时候,我们也可以进行选择。在实时监测的时候,读和写
其实是很难同步的。读的内容肯定是上一个时间段存储的内容。

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top