微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 综合技术问答 > EDA使用问答 > Ubuntu1604 server安装verdi2014 license socket error

Ubuntu1604 server安装verdi2014 license socket error

时间:03-15 整理:3721RD 点击:
Ubuntu1604 server安装verdi2014,提示license软件lmgrd打不开socket:
12:45:03 (snpslmd) FAIled to open socket to lmgrd, Exiting
使用 verdi -licdebug有如下信息:
######################### verdi -licdebug info #################
logDir = /tmp/verdi_08_02_2017_8116
Verdi Release Verdi3_I-2014.03 (RH Linux x86_64/64bit) 02/11/2014
(C) 1999 - 2014 by Synopsys, Inc.
All Rights Reserved.
www.synopsys.com
This program is proprietary and confidential information of Synopsys, Inc. and
may be used and disclosed only as authorized in a license agreement controlling
such use and disclosure.
Licensed Products communicate with Synopsys servers for the purpose of providing
software updates, detecting software piracy and verifying that customers are
using Licensed Products in conformity with the applicable License Key for such
Licensed Products. Synopsys will use information gathered in connection with
this process to deliver software updates and pursue software pirates and
infringers.
rcfile = /usr/synopsys/verdi2014.03/etc/novas.rc
guiConfFile = /home/luoy/novas.conf
FLEXnet Licensing checkout error: Cannot read data from license server system.
The license server system appears to be running, but is not
responding.If this persists, notify the System Administrator.
(The license server manager (lmgrd) and vendor daemon processes
should be terminated and restarted.)
Feature:Verdi
License path:/usr/local/flexlm/licenses/license.dat
FLEXnet Licensing error:-16,10009.System Error: 104 "Connection reset by peer"
For further information, refer to the FLEXnet Licensing End User Guide,
available at "www.macrovision.com".
license file(s):/usr/local/flexlm/licenses/license.dat
FLEXnet Licensing checkout error: Cannot connect to license server system.
The license server manager (lmgrd) has not been started yet,
the wrong port@host or license file is being used, or the
port or hostname in the license file has been changed.
Feature:Verdi
Server name:uTT02
License path:/usr/local/flexlm/licenses/license.dat
FLEXnet Licensing error:-15,570.System Error: 115 "Operation now in progress"
For further information, refer to the FLEXnet Licensing End User Guide,
available at "www.macrovision.com".
license file(s):/usr/local/flexlm/licenses/license.dat
[SCL] 08/02/2017 17:35:15 PID:8116 uTT02 Checkout denied Verdi 2014.03
Could not checkout Verdi license. Use Verdi -licdebug for more information.
######################### verdi -licdebug info #################
####################### lmgrd detail log ############################
12:44:53 (lmgrd) -----------------------------------------------
12:44:53 (lmgrd)Please Note:
12:44:53 (lmgrd)
12:44:53 (lmgrd)This log is intended for debug purposes only.
12:44:53 (lmgrd)In order to capture accurate license
12:44:53 (lmgrd)usage data into an organized repository,
12:44:53 (lmgrd)please enable report logging. Use Acresso Software Inc.'s
12:44:53 (lmgrd)software license administrationsolution,
12:44:53 (lmgrd)FLEXnet Manager, toreadily gain visibility
12:44:53 (lmgrd)into license usage data and to create
12:44:53 (lmgrd)insightful reports on critical information like
12:44:53 (lmgrd)license availability and usage. FLEXnet Manager
12:44:53 (lmgrd)can be fully automated to run these reports on
12:44:53 (lmgrd)schedule and can be used to track license
12:44:53 (lmgrd)servers and usage across a heterogeneous
12:44:53 (lmgrd)network of servers including Windows NT, Linux
12:44:53 (lmgrd)and UNIX. Contact Acresso Software Inc. at
12:44:53 (lmgrd)www.acresso.com for more details on how to
12:44:53 (lmgrd)obtain an evaluation copy of FLEXnet Manager
12:44:53 (lmgrd)for your enterprise.
12:44:53 (lmgrd)
12:44:53 (lmgrd) -----------------------------------------------
12:44:53 (lmgrd)
12:44:53 (lmgrd)
12:44:53 (lmgrd) FLEXnet Licensing (v11.6.1.0 build 66138 i86_re3) started on uTT02 (linux) (8/1/2017)
12:44:53 (lmgrd) Copyright (c) 1988-2008 Acresso Software Inc. All Rights Reserved.
12:44:53 (lmgrd) US Patents 5,390,297 and 5,671,412.
12:44:53 (lmgrd) World Wide Web:http://www.acresso.com
12:44:53 (lmgrd) License file(s): /usr/local/flexlm/licenses/license.dat
12:44:53 (lmgrd) lmgrd tcp-port 27000
12:44:53 (lmgrd) Starting vendor daemons ...
12:44:53 (lmgrd) Started snpslmd (internet tcp_port 51446 pid 25231)
12:44:53 (snpslmd) FlexNet Licensing version v11.12.1.2 build 152538 x64_lsb
12:44:53 (snpslmd) lmgrd version 11.6, snpslmd version 11.12
08/01/2017 12:44:53 (snpslmd) Synopsys Corporate Licensing (SCL) Release: version SCL_11.9
08/01/2017 12:44:55 (snpslmd) File descriptor limit (soft, hard) for snpslmd: 65536, 65536
12:44:55 (snpslmd) SLOG: Summary LOG statistics is enabled.
12:44:55 (snpslmd) SLOG: FNPLS-INTERNAL-CKPT1
12:44:55 (snpslmd) SLOG: VM Status: 0
12:44:55 (snpslmd) SLOG: FNPLS-INTERNAL-CKPT2
12:44:56 (snpslmd) CVD License file has been Tampered.So no.of license Restricted to Original count
12:44:56 (snpslmd) CVD License file has been Tampered.So no.of license Restricted to Original count
12:44:56 (snpslmd) CVD License file has been Tampered.So no.of license Restricted to Original count
12:44:56 (snpslmd) CVD License file has been Tampered.So no.of license Restricted to Original count
12:44:56 (snpslmd) CVD License file has been Tampered.So no.of license Restricted to Original count
12:44:56 (snpslmd) CVD License file has been Tampered.So no.of license Restricted to Original count
12:44:56 (snpslmd) CVD License file has been Tampered.So no.of license Restricted to Original count
12:44:57 (snpslmd) Server started on uTT02 for:SSS
12:44:57 (snpslmd) 3D3PAARKOS-A
12:44:57 (snpslmd) ABB_CHCRC_LIBRARY ACEX1KACS
12:44:57 (snpslmd) ACT2-1200XLACT3AI
12:44:57 (snpslmd) arm7TDMIATMELATMWB_ATMLAYER
12:44:57 (snpslmd) ATMWB_UTOPIAAUAUSP
12:44:57 (snpslmd) AUT_NUMBER_MAJOR_PACKAGES AdvanceCalibration_all AdvancedCalibration_all
12:44:57 (snpslmd) ApolloApolloGACPI-100
12:44:57 (snpslmd) CTV-InterfaceCUSTOM_LIBCYPRESS
12:44:57 (snpslmd) Constraint_Translation ConverterCosmos_scope
12:44:57 (snpslmd) D3DATAIODB-Mode
12:44:57 (snpslmd) DEDEMODF
12:44:57 (snpslmd) DIRECTMEMDelta39kEarly-Access-Technology
12:44:57 (snpslmd) EssentialSignalAnalysis EviewExtraction
12:44:57 (snpslmd) FAILURE_MODEFAILURE_MODE_MEMSSE FASTPARTS
12:44:57 (snpslmd) FCII-Altera-Edition FCOFPABP
12:44:57 (snpslmd) FPDPFPPRTFPTA
12:44:57 (snpslmd) FPTimeFSM-ReaderFSM-Writer
12:44:57 (snpslmd) FUSE_CHARFUSE_CHAR_MEMSSE Flash370i
12:44:57 (snpslmd) Floorplan-Management FusionVantageLmcInterface GENERICVSP
12:44:57 (snpslmd) GRAPHICS_INTERFACE GaiaGuidedTraceAnalysis
12:44:57 (snpslmd) HLS-FPGA-SystemC HLS-SystemCHSPICE_MODEL_LIBRARY
12:44:57 (snpslmd) HSPICE_MODEL_LIBRARY_MEMSSE HighLevel-Power-Analysis HighLevel-Power-Optimization
12:44:57 (snpslmd) Hsp-vacompICInteractiveIC_DESIGN_LIB
12:44:57 (snpslmd) IMECH_LIBRARYINCREMENTsIROI
12:44:57 (snpslmd) ISExtract_allKey-GeneratorLINYE
12:44:57 (snpslmd) LOLPLSA
12:44:57 (snpslmd) LSA_MEMSSELSI-InterfaceLSS4E
12:44:57 (snpslmd) Leakage-PowerLibrary-Compiler MAST_COMPILER
12:44:57 (snpslmd) MAdvMCDMD
12:44:57 (snpslmd) MDataCheckMDataPrepMDynLink
12:44:57 (snpslmd) MEASUREMEASURE_MEMSSEMEMPRO
12:44:57 (snpslmd) MEMPRO-LIBMEMSMENTOR_FRAMEWAY
12:44:57 (snpslmd) MENTOR_FRAMEWAY_MEMSSE MENTOR_NETLISTER MENTOR_NETLISTER_MEMSSE
12:44:57 (snpslmd) MERCURYMGMI
12:44:57 (snpslmd) MarsMentor-Interface Milkyway
12:44:57 (snpslmd) Milkyway-Interface NCXNCX-addon
12:44:57 (snpslmd) NET-TRANNPNova-ExploreRTL-verilog
12:44:57 (snpslmd) PAWPC-ExpertPLACEHOLDER
12:44:57 (snpslmd) PMPNET_LIBRARYPNEUM_HYD_PRG1
12:44:57 (snpslmd) PNEU_HYD_LIBRARY POLARIS_SCOPEPOLARIS_X
12:44:57 (snpslmd) POWER_EXPRESSPPPRTPSG-SDE
12:44:57 (snpslmd) PS_CTSPS_ExtractionPS_Noise_Optimization
12:44:57 (snpslmd) PVCSCompiler_Net PVCSRuntime_Net ParDiSo_all
12:44:57 (snpslmd) Parse-Tree-Translator Pathmill-migrate Pathmill-plus-migrate
12:44:57 (snpslmd) QLOGICQTQuantum38k
12:44:57 (snpslmd) RARCXTEORMAN_RUN
12:44:57 (snpslmd) RT54SXSRailMacroReusner
12:44:57 (snpslmd) RouteCompilerRtlGateCorrelation S4
12:44:57 (snpslmd) S4EDS4EISEU
12:44:57 (snpslmd) SJCL_LIBRARYSKDB_ImportSTATEBENCH
12:44:57 (snpslmd) STRESSSTRESS_MEMSSEST_LIBRARY
12:44:57 (snpslmd) SYNARIOSilVESiloti
12:44:57 (snpslmd) Siloti_feSiloti_o2SimVE
12:44:57 (snpslmd) SolarGAIISolarIIStamp-Compiler
12:44:57 (snpslmd) StarXtractSxtract_allSyn-Library-Compiler
12:44:57 (snpslmd) T3TATBS
12:44:57 (snpslmd) TC-BetaTDTLM_Synthesis
12:44:57 (snpslmd) TPTRTRUE
12:44:57 (snpslmd) TVTimingAnalysisTurboWave
12:44:57 (snpslmd) Ultra37000VANTISVF
12:44:57 (snpslmd) VPCVSP_DRIVERVerdi
12:44:57 (snpslmd) Verification-Token VirSimWRITE
12:44:57 (snpslmd) WhatIfAnalysisaPrvaiu_foundation
12:44:57 (snpslmd) amgaany_technologyavanwaves
12:44:57 (snpslmd) avanwaveswinawe_rcrcadence
12:44:57 (snpslmd) cadence_epiccdsaavmxcdsaawaves
12:44:57 (snpslmd) cdsmetalibcdsnetcertify
12:44:57 (snpslmd) certifyscchiparch_migrate chipviewer
12:44:57 (snpslmd) cxpdelaymilleX
12:44:57 (snpslmd) edif2eelectromigration_drc encore
12:44:57 (snpslmd) encryptetranexample_INCREMENT
12:44:57 (snpslmd) fastspice_xagemini_hspicegentech
12:44:57 (snpslmd) leda-mxleda_checkerleda_mx
12:44:57 (snpslmd) libarclsim_parsermentorf
12:44:57 (snpslmd) metaencrypt3des metawavesmetawaveswin
12:44:57 (snpslmd) mg_falcon_epicmilltoolsmisc
12:44:57 (snpslmd) mti_epicnlccnpi
12:44:57 (snpslmd) pathfinderplanet_migrateplatform_creator_sysc
12:44:57 (snpslmd) pmgaprmspx_visual_all provhdl_checker
12:44:57 (snpslmd) psfpwgarad
12:44:57 (snpslmd) rm_eeeroute66rslv3d
12:44:57 (snpslmd) rslv3dviewerscratchsda
12:44:57 (snpslmd) sharpsimifsnps_fs_nwave
12:44:57 (snpslmd) sptopography2d_all systemc_idetSchema
12:44:57 (snpslmd) techviewertestingtfm
12:44:57 (snpslmd) usb_device_vmtvcd2evcsace
12:44:57 (snpslmd) verilog_writervertuevhdl_compiler
12:44:57 (snpslmd) vtranxpvera_comp
12:44:57 (snpslmd) vera_debugvera_ipvera_rtime
12:44:57 (snpslmd) viewlogicviewlogic_epicvlog2e
12:44:57 (snpslmd) vlog_epic_pifvlsi2000vlsi500
12:44:57 (snpslmd) vsea-calibvsea-calib_allvsea-calib_ise
12:44:57 (snpslmd) vsea-calib_ise_all vsecP_OEM_VCS_FUJITSU_GEN_NL vsecP_OEM_VCS_FUJITSU_GEN_NW
12:44:57 (snpslmd) vsecP_OEM_VCS_FUJITSU_RUN_NL vsecP_OEM_VCS_FUJITSU_USE_NL vsecP_OEM_VCS_FUJITSU_USE_NW
12:44:57 (snpslmd) timemilltimemill/acetimemill/av
12:44:57 (snpslmd) timemill/bcxtimemill/bdctimemill/beta_status
12:44:57 (snpslmd) timemill/btmtimemill/csxtimemill/internal_use
12:44:57 (snpslmd) timemill/msxtimemill/nsaddon turboSchema
12:44:57 (snpslmd) turboStateturboTracerturbowave_epic
12:44:57 (snpslmd) simwavesimwave_epicsparallel
12:44:57 (snpslmd) sparallel_allsparallel_isesparallel_ise_all
12:44:57 (snpslmd) spcmcalib_allspcmlibspcmlib_all
12:44:57 (snpslmd) spcmlib_isespcmlib_ise_all spcmstd
12:44:57 (snpslmd) spcmstd_allspcmstd_isespcmstd_ise_all
12:44:57 (snpslmd) spcmtclspcmtcl_allspcmtcl_ise
12:44:57 (snpslmd) spcmtcl_ise_all spice_explorerspice_parser
12:44:57 (snpslmd) sprocesssprocess-an-imp3d sprocess-an-imp3d_all
12:44:57 (snpslmd) sprocess-an-imp3d_ise sprocess-an-imp3d_ise_all sprocess-parallel4_all
12:44:57 (snpslmd) sprocess-parallel_all sprocess2dsprocess2d_all
12:44:57 (snpslmd) sprocess2d_isesprocess2d_ise_all sprocess2dmc
12:44:57 (snpslmd) sprocess2dmc_all sprocess2dmc_ise sprocess2dmc_ise_all
12:44:57 (snpslmd) sprocess3dsprocess3d_allsprocess3d_ise
12:44:57 (snpslmd) sprocess3d_ise_all sprocess3dmcsprocess3dmc_all
12:44:57 (snpslmd) sprocess3dmc_ise sprocess3dmc_ise_all sprocess_all
12:44:57 (snpslmd) sprocess_isesprocess_ise_all sprocesskmc_all
12:44:57 (snpslmd) sprocessmcsprocessmc_allsprocessmc_ise
12:44:57 (snpslmd) sprocessmc_ise_all sprocessmpp_all stars_analog
12:44:57 (snpslmd) stars_aryredstars_cmistars_csdf
12:44:57 (snpslmd) stars_hsplnkstars_ishstars_linred
12:44:57 (snpslmd) stars_mrstars_wsfstarsim
12:44:57 (snpslmd) starsimxtstart_aedstart_analysis
12:44:57 (snpslmd) start_binstartimesup2lig
12:44:57 (snpslmd) sup2lig_allsup2lig_isesup2lig_ise_all
12:44:57 (snpslmd) swbswb-advancedswb-advanced_all
12:44:57 (snpslmd) swb-advanced_ise swb-advanced_ise_all swb_all
12:44:57 (snpslmd) swb_iseswb_ise_allsx_cdslink
12:44:57 (snpslmd) sx_chipsx_daiclinksx_drc
12:44:57 (snpslmd) sx_wvasynplifysynplifyasic
12:44:57 (snpslmd) synplifydspoption synplifydspslsynplifypremier
12:44:57 (snpslmd) synplifypremierdp synplifyprosynplifypro_asix
12:44:57 (snpslmd) sdevice-opticsdevice-optic_all sdevice-optic_ise
12:44:57 (snpslmd) sdevice-optic_ise_all sdevice-parallel sdevice-parallel4
12:44:57 (snpslmd) sdevice-parallel4_all sdevice-parallel4_ise sdevice-parallel4_ise_all
12:44:57 (snpslmd) sdevice-parallel_all sdevice-parallel_ise sdevice-parallel_ise_all
12:44:57 (snpslmd) sdevice-pmisdevice-pmi_all sdevice-pmi_ise
12:44:57 (snpslmd) sdevice-pmi_ise_all sdevice-radiation sdevice-radiation_all
12:44:57 (snpslmd) sdevice-radiation_ise sdevice-radiation_ise_all sdevice-reliability
12:44:57 (snpslmd) sdevice-reliability_all sdevice-reliability_ise sdevice-reliability_ise_all
12:44:57 (snpslmd) sdevice-schroedinger sdevice-schroedinger_all sdevice-schroedinger_ise
12:44:57 (snpslmd) sdevice-schroedinger_ise_all sdevice-sigesdevice-sige_all
12:44:57 (snpslmd) sdevice-sige_ise sdevice-sige_ise_all sdevice-thermo
12:44:57 (snpslmd) sdevice-thermo_all sdevice-thermo_ise sdevice-thermo_ise_all
12:44:57 (snpslmd) sdevice-trapssdevice-traps_all sdevice-traps_ise
12:44:57 (snpslmd) sdevice-traps_ise_all sdevice-vectoroptic sdevice-vectoroptic_all
12:44:57 (snpslmd) sdevice-vectoroptic_ise sdevice-vectoroptic_ise_all sdevice_all
12:44:57 (snpslmd) sdevice_isesdevice_ise_all sdevicemonosemicond
12:44:57 (snpslmd) sdevicemonosemicond_all sdevicemonosemicond_ise sdevicemonosemicond_ise_all
12:44:57 (snpslmd) sdesde3dsde3d_all
12:44:57 (snpslmd) sde3d_isesde3d_ise_allsde_all
12:44:57 (snpslmd) sde_isesde_ise_allsdevcie-laser_all
12:44:57 (snpslmd) sdevicesdevice-3dsdevice-3d_all
12:44:57 (snpslmd) sdevice-3d_isesdevice-3d_ise_all sdevice-densitygrad
12:44:57 (snpslmd) sdevice-densitygrad_all sdevice-densitygrad_ise sdevice-densitygrad_ise_all
12:44:57 (snpslmd) sdevice-ferrosdevice-ferro_all sdevice-ferro_ise
12:44:57 (snpslmd) sdevice-ferro_ise_all sdevice-floatinggate sdevice-floatinggate_all
12:44:57 (snpslmd) sdevice-floatinggate_ise sdevice-floatinggate_ise_all sdevice-gateleakage
12:44:57 (snpslmd) sdevice-gateleakage_all sdevice-gateleakage_ise sdevice-gateleakage_ise_all
12:44:57 (snpslmd) sdevice-heterosdevice-hetero_all sdevice-hetero_ise
12:44:57 (snpslmd) sdevice-hetero_ise_all sdevice-hydrosdevice-hydro_all
12:44:57 (snpslmd) sdevice-hydro_ise sdevice-hydro_ise_all sdevice-kpgain
12:44:57 (snpslmd) sdevice-kpgain_all sdevice-kpgain_ise sdevice-kpgain_ise_all
12:44:57 (snpslmd) sdevice-lasersdevice-laser_all sdevice-laser_ise
12:44:57 (snpslmd) sdevice-laser_ise_all sdevice-ledsdevice-led_all
12:44:57 (snpslmd) sdevice-led_ise sdevice-led_ise_all sdevice-mixedmode
12:44:57 (snpslmd) sdevice-mixedmode_all sdevice-mixedmode_ise sdevice-mixedmode_ise_all
12:44:57 (snpslmd) sdevice-montecarlo sdevice-montecarlo_all sdevice-montecarlo_ise
12:44:57 (snpslmd) sdevice-montecarlo_ise_all sdevice-noisesdevice-noise_all
12:44:57 (snpslmd) sdevice-noise_ise sdevice-noise_ise_all railmill
12:44:57 (snpslmd) railmill/acerailmill/avrailmill/bcx
12:44:57 (snpslmd) railmill/beta_status railmill/gbxrailmill/internal_use
12:44:57 (snpslmd) railmill/msxrailmill/powerrailmill/rvfp
12:44:57 (snpslmd) railmill/snxrailmill/spnxrailmill/ssnx
12:44:57 (snpslmd) pathmillpathmill/csopathmill/ctx
12:44:57 (snpslmd) pathmill/dsxpathmill/pfxpathmill/sfx
12:44:57 (snpslmd) pathmill_pluspolaris-cbspolaris-com
12:44:57 (snpslmd) polaris-intpolaris-mtpolaris-signalscan
12:44:57 (snpslmd) powerarcpowergatepowrmill
12:44:57 (snpslmd) powrmill/acepowrmill/avpowrmill/bcx
12:44:57 (snpslmd) powrmill/beta_status powrmill/btmpowrmill/csx
12:44:57 (snpslmd) powrmill/internal_use powrmill/msxpowrmill/nsaddon
12:44:57 (snpslmd) powrmill/powerpowrmill/svczpowrmill/vlog_a
12:44:57 (snpslmd) primepowerprimepower_beta primepower_gui
12:44:57 (snpslmd) primepower_vcdprimerail_hsimnAnalyzer
12:44:57 (snpslmd) nBenchnClocknCompare
12:44:57 (snpslmd) nECOnESLnLint
12:44:57 (snpslmd) nLint_eithernLint_mixednLint_sv
12:44:57 (snpslmd) nLint_verilognLint_vhdlnMonitor
12:44:57 (snpslmd) nPropnSCnSchema
12:44:57 (snpslmd) nSchemaOnlynStatenTE
12:44:57 (snpslmd) nTXnTimingnTrace
12:44:57 (snpslmd) nTreenWavenanochar
12:44:57 (snpslmd) nanosimnanosim/acenanosim/ace_cosim
12:44:57 (snpslmd) nanosim/avnanosim/bcxnanosim/bdc
12:44:57 (snpslmd) nanosim/beta_status nanosim/btmnanosim/gui
12:44:57 (snpslmd) nanosim/internal_use nanosim/msxnanosim/postlayout_har
12:44:57 (snpslmd) nanosim/powernanosim/vlog_ananosim_starsimxt
12:44:57 (snpslmd) identdebuggeridentdebugger_encrypt identdebugger_xilinx
12:44:57 (snpslmd) identify_tpsidentifydebugger identifydebugger_encrypt
12:44:57 (snpslmd) identifydebugger_xilinx identifyinstrumentor identifyinstrumentor_encrypt
12:44:57 (snpslmd) identifyinstrumentor_xilinx identinstrumentor identinstrumentor_encrypt
12:44:57 (snpslmd) identinstrumentor_xilinx identprodebugger identprodebugger_encrypt
12:44:57 (snpslmd) identprodebugger_xilinx identproinstrumentor identproinstrumentor_encrypt
12:44:57 (snpslmd) identproinstrumentor_xilinx ispLSI1KispLSI2K
12:44:57 (snpslmd) ispLSI2KEispLSI3KispLSI5000S
12:44:57 (snpslmd) ispLSI5000SEispLSI5KispLSI6K
12:44:57 (snpslmd) ispLSI8KispMACH4000hd_foundation
12:44:57 (snpslmd) hd_pnahd_special1hd_special2
12:44:57 (snpslmd) hd_special3hd_utilshdlin
12:44:57 (snpslmd) hdlin_mixedhdlin_veriloghdlin_vhdl
12:44:57 (snpslmd) hicdr_testhicdr_test/test1 hicdr_test/test2
12:44:57 (snpslmd) hicdr_test/test3 hicdr_test/test4 hicdr_test/test5
12:44:57 (snpslmd) hicdr_test/test6 hicdr_test/test7 hicdr_test/test8
12:44:57 (snpslmd) him_mbhim_mb_dsmlhim_mm_pi
12:44:57 (snpslmd) him_modhim_smlhsim
12:44:57 (snpslmd) hsim-cosimhsim-xlhspice
12:44:57 (snpslmd) hspice-XOhspice3deshspice_adv
12:44:57 (snpslmd) hspice_cosimhspice_guihspice_mt
12:44:57 (snpslmd) hspice_packhspice_rfhspice_rf_char
12:44:57 (snpslmd) hspicecmidevhspicecmirthspicemt
12:44:57 (snpslmd) hspicerfhspicevahspicewin
12:44:57 (snpslmd) hspicexthsptesthspui
12:44:57 (snpslmd) ficdr_testficdr_test/gdsficdr_test/goa
12:44:57 (snpslmd) ficdr_test/ioficdr_test/ncficdr_test/poly
12:44:57 (snpslmd) ficdr_test/size ficdr_test/sizeOr ficdr_test/stmp
12:44:57 (snpslmd) ficdr_test/tiofpc_foundationfpc_pna
12:44:57 (snpslmd) fpc_special1fpc_special2fpc_special3
12:44:57 (snpslmd) fpc_utilsemwemw-farfield
12:44:57 (snpslmd) emw-farfield_all emw-farfield_ise emw-farfield_ise_all
12:44:57 (snpslmd) emw-nonuniform-2d_all emw-nonuniform-3d emw-nonuniform-3d_all
12:44:57 (snpslmd) emw-nonuniform-3d_ise emw-nonuniform-3d_ise_all emw-sdevice
12:44:57 (snpslmd) emw-sdevice_all emw-sdevice_ise emw-sdevice_ise_all
12:44:57 (snpslmd) emw-staticemw-static_allemw-static_ise
12:44:57 (snpslmd) emw-static_ise_all emw-thermalemw-thermal_all
12:44:57 (snpslmd) emw-thermal_ise emw-thermal_ise_all emw-uniform-2d_all
12:44:57 (snpslmd) emw-uniform-3demw-uniform-3d_all emw-uniform-3d_ise
12:44:57 (snpslmd) emw-uniform-3d_ise_all emw_allemw_ise
12:44:57 (snpslmd) emw_ise_allc_exe_axc_exe_cbx
12:44:57 (snpslmd) c_exe_drcc_exe_gxc_exe_ipc
12:44:57 (snpslmd) c_exe_lvscmodcmod/ax
12:44:57 (snpslmd) cmod/cbxcmod/drccmod/gx
12:44:57 (snpslmd) cmod/gxadvcmod/hdrccmod/hlvs
12:44:57 (snpslmd) cmod/ipccmod/lvscmod/viewer
12:44:57 (snpslmd) coreAssemblercoreBuildercoreConsultant
12:44:57 (snpslmd) coreSynthesiscoremillcosmos_other
12:44:57 (snpslmd) ace_coreacegaacehdl
12:44:57 (snpslmd) acehdl/beta_status acehdl/internal_use acehdl_beta
12:44:57 (snpslmd) acehdl_guialien2ligalien2lig_all
12:44:57 (snpslmd) alien2lig_isealien2lig_ise_all amat-calib
12:44:57 (snpslmd) amat-calib_allamat-calib_iseamat-calib_ise_all
12:44:57 (snpslmd) amplifyamplifyasicamplifyissp
12:44:57 (snpslmd) amplifyrapidchip ampsamps/cso
12:44:57 (snpslmd) amps/pfxamps/trarc
12:44:57 (snpslmd) arc/bcxarc/c2xarc/c3x
12:44:57 (snpslmd) arc/cnsarc/dnxarc/dpx
12:44:57 (snpslmd) arc/gds2arc/giperarc/lefdef
12:44:57 (snpslmd) arc/ppxarc/proarc/r3x
12:44:57 (snpslmd) arc1arc2arcexpert
12:44:57 (snpslmd) arcfcarcviewerarcviewer/raph
12:44:57 (snpslmd) arcxlXC3000XC4000
12:44:57 (snpslmd) XC4000EXC4000EXXC4000L
12:44:57 (snpslmd) XC4000XLXC4000XLAXC4000XV
12:44:57 (snpslmd) XC5200XC9500XC9500XL
12:44:57 (snpslmd) XC9500XVXVCSDebuggerXVCSiDebugger
12:44:57 (snpslmd) XVVCDebuggerWF_API_HSPICEWF_API_HSPICE_MEMSSE
12:44:57 (snpslmd) WF_API_MEMSSEWF_API_STARSIMWF_API_STARSIM_MEMSSE
12:44:57 (snpslmd) VT_64BitVT_AssertionIPVT_Assertions
12:44:57 (snpslmd) VT_AssertionsRuntime VT_CBUGVT_Coverage
12:44:57 (snpslmd) VT_CoverageRuntime VT_CoverageURGVT_DVE
12:44:57 (snpslmd) VT_DVENTBVT_DVE_COVVT_LCA_Assertions
12:44:57 (snpslmd) VT_LCA_Coverage VT_LCA_DEBUGVT_LCA_Language
12:44:57 (snpslmd) VT_LCA_MixedSignal VT_LCA_Testbench VT_NTB
12:44:57 (snpslmd) VT_NativeTBDebuggerGui VT_NativeTestbench VT_OtherTechnology
12:44:57 (snpslmd) VT_PVCSCompiler_Net VT_PioneerVT_SDebug
12:44:57 (snpslmd) VT_SVAssertionCompiler VT_SVAssertions VT_SVDesign
12:44:57 (snpslmd) VT_SVTestbenchVT_SYSTEMC21VT_SystemVerilog
12:44:57 (snpslmd) VT_TestbenchVT_TestbenchRuntime VT_UCLI
12:44:57 (snpslmd) VT_UnifiedCoverage VT_VCS_Advanced_INCREMENTs VT_VCS_BETA_INCREMENTs
12:44:57 (snpslmd) VT_VCS_BETA_Program VT_VCS_CheckerVT_VCS_Echo
12:44:57 (snpslmd) VT_VCS_LCA_INCREMENTs VT_VCS_NTBEVT_VCS_Power_Management
12:44:57 (snpslmd) VT_VisualVeraVerilog
12:44:57 (snpslmd) Verilog-Netlist-Writer Verilog-ParserVerilog-To-BE
12:44:57 (snpslmd) Verilog-VirSimVivace-Code-Generator Vivace-Core
12:44:57 (snpslmd) Vivace-DebugVivace-Elaborator Vivace-Expert
12:44:57 (snpslmd) Vivace-ExpressVivace-GUIVivace-HDL-Analyzer
12:44:57 (snpslmd) Vivace-Model-Compiler Vivace-ProVivace-Simulator
12:44:57 (snpslmd) Vivace-VHDL-Analyzer VDesktop-DebugVDesktop-GUI
12:44:57 (snpslmd) VDesktop-VCDTrans VDesktop-VHDLVDesktop-Verilog
12:44:57 (snpslmd) VEHICLE_ELECTRIC_LIB VEHICLE_ELECTRIC_LIB_MEMSSE VENDOR_LIBRARY
12:44:57 (snpslmd) VENDOR_LIBRARY_MEMSSE VERIAS_HSPICE_COMPILER VERIAS_SIMULATOR
12:44:57 (snpslmd) VHDL-AnalyzerVHDL-CompilerVHDL-Compiler-Old
12:44:57 (snpslmd) VHDL-Compiler-Presto VHDL-Cycle-SimVHDL-Elaborator
12:44:57 (snpslmd) VHDL-Event-SimVHDL-Netlist-Writer VHDL-ScSim
12:44:57 (snpslmd) VHDL-To-BEVHDL-ToolsVHDL-VirSim
12:44:57 (snpslmd) VHDLAMS_TAURIVHDLAMS_TAURILI VHDLi-Elaborator
12:44:57 (snpslmd) VHDLi-Event-Sim VHMC-EvalVHMC-GenUnlocked
12:44:57 (snpslmd) VHMC-RuntimeVIEWLOGIC_FRAMEWAY VIEWLOGIC_FRAMEWAY_MEMSSE
12:44:57 (snpslmd) VIEWLOGIC_NETVIEWLOGIC_NET_MEMSSE VIRTEX
12:44:57 (snpslmd) VIRTEX2VIRTEX2PVIRTEXE
12:44:57 (snpslmd) VIRTEXEAVMCCompilerVMCCompiler_Net
12:44:57 (snpslmd) VMCCompiler_Node VMCCoreVMCEvaluation
12:44:57 (snpslmd) VMCEvaluation_Net VMCEvaluation_Node VMCExpress_Compiler
12:44:57 (snpslmd) VMCExpress_Compiler_Net VMCExpress_Compiler_Node VMCGeneratorUnlocked
12:44:57 (snpslmd) VMCGeneratorUnlocked_Net VMCGeneratorUnlocked_Node VMCRuntime
12:44:57 (snpslmd) VMCRuntime_NetVMCRuntime_Node VSS-Analyzer
12:44:57 (snpslmd) VSS-BackplaneVSS-CLIVSS-Cadence-Interface
12:44:57 (snpslmd) VSS-CompiledSim VSS-DebuggerVSS-Falcon-Interface
12:44:57 (snpslmd) VSS-GateSimVSS-LAI-ModelsVSS-LMSI
12:44:57 (snpslmd) VSS-Lib-ToolsVSS-Model-Developer VSS-NEC-Tran
12:44:57 (snpslmd) VSS-SDF-Interface VSS-SGE-ToolVSS-SPC
12:44:57 (snpslmd) VSS-SimulatorVSS-SmartModels VSS-Tran
12:44:57 (snpslmd) VSS-UtilitiesVSS-VIP-Interface VSS-Verilog-PLI
12:44:57 (snpslmd) VSS-Wave-Display VSS-XP-Accelerator VCS-Express-Compile
12:44:57 (snpslmd) VCS-Express-Runtime VCS-VERIFICATION-LIBRARY VCSAMSCompiler
12:44:57 (snpslmd) VCSAMSCompiler_Net VCSAMSRuntimeVCSAMSRuntime_Net
12:44:57 (snpslmd) VCSCompileVCSCompile_NetVCSCompile_Node
12:44:57 (snpslmd) VCSCompilerVCSCompiler_Net VCSCompiler_Node
12:44:57 (snpslmd) VCSDebuggerVCSDebugger_Net VCSDebugger_Node
12:44:57 (snpslmd) VCSMXRunTime_Net VCSMXiRunTime_Net VCSNativeCode
12:44:57 (snpslmd) VCSNativeCode_Net VCSNativeCode_Node VCSOldPostProcDebugger_Net
12:44:57 (snpslmd) VCSOldPostProcDebugger_Node VCSParallelCompiler VCSParallelCompiler_Net
12:44:57 (snpslmd) VCSParallelCompiler_Node VCSParallelRuntime VCSParallelRuntime_Net
12:44:57 (snpslmd) VCSParallelRuntime_Node VCSParallelThread VCSParallelThread_Net
12:44:57 (snpslmd) VCSParallelThread_Node VCSPostProcDebugger VCSPostProcDebugger_Net
12:44:57 (snpslmd) VCSPostProcDebugger_Node VCSRuntimeVCSRuntimeLimited
12:44:57 (snpslmd) VCSRuntimeLimited_Net VCSRuntimeLimited_Node VCSRuntime_Net
12:44:57 (snpslmd) VCSRuntime_Node VCSStdVCSStd_Net
12:44:57 (snpslmd) VCSStd_NodeVCSToolsVCSTools_Net
12:44:57 (snpslmd) VCSTools_NodeVCSiCompileVCSiCompile_Net
12:44:57 (snpslmd) VCSiCompile_Node VCSiCompilerVCSiCompiler_Net
12:44:57 (snpslmd) VCSiCompiler_Node VCSiDebuggerVCSiDebugger_Net
12:44:57 (snpslmd) VCSiDebugger_Node VCSiRuntimeVCSiRuntimeLimited
12:44:57 (snpslmd) VCSiRuntimeLimited_Net VCSiRuntimeLimited_Node VCSiRuntime_Net
12:44:57 (snpslmd) VCSiRuntime_Net(3) VCSiRuntime_Node VCSlm_Hm
12:44:57 (snpslmd) VCSlm_Hm_NetVCSlm_Hm_NodeTBM-Manager-UI
12:44:57 (snpslmd) TBM-VSS-CheckTDL-InterfaceTDL-Reader
12:44:57 (snpslmd) TDL-WriterTESTIFYTESTIFY_MEMSSE
12:44:57 (snpslmd) TESTIFY_NETLISTER TESTIFY_NETLISTER_MEMSSE THERM_TOOL
12:44:57 (snpslmd) THERM_TOOL_MEMSSE TLU_TOOLTLU_TOOL_MEMSSE
12:44:57 (snpslmd) TR_ANALYSISTR_ANALYSIS_MEMSSE Tdx
12:44:57 (snpslmd) Tdx_allTdx_iseTdx_ise_all
12:44:57 (snpslmd) TecplotTecplotSmall_all Tecplot_all
12:44:57 (snpslmd) Tecplot_iseTecplot_ise_all Ted
12:44:57 (snpslmd) TedSmall_allTed_allTed_ise
12:44:57 (snpslmd) Ted_ise_allTest-ATPGTest-ATPG-30
12:44:57 (snpslmd) Test-ATPG-Limited Test-ATPG-MaxTest-ATPG-PRO
12:44:57 (snpslmd) Test-ATPG-Ultra Test-ATPG-XPTest-Accelerate-Max
12:44:57 (snpslmd) Test-Accelerator Test-AnalysisTest-Analysis-GUI
12:44:57 (snpslmd) Test-Analysis-RTL Test-BISTTest-BSDL
12:44:57 (snpslmd) Test-BetaTest-Beta-2Test-Beta-3
12:44:57 (snpslmd) Test-Beta-4Test-Beta-5Test-Beta-6
12:44:57 (snpslmd) Test-Beta-7Test-Beta-8Test-Beta-9
12:44:57 (snpslmd) Test-CATest-CA-2Test-CA-3
12:44:57 (snpslmd) Test-CA-4Test-CTL-ModelTest-Compile
12:44:57 (snpslmd) Test-Compile-Max Test-Compile-Remodel Test-Compile-Share
12:44:57 (snpslmd) Test-CompilerTest-Compiler-Plus Test-Compiler-Remodel
12:44:57 (snpslmd) Test-Compression-ATPG Test-Compression-Synthesis Test-CompressionPlus-ATPG
12:44:57 (snpslmd) Test-CompressionPlus-Syn Test-Core-Integration Test-Core-Wrapper
12:44:57 (snpslmd) Test-Custom-Protocols Test-DFT-TopTest-DFTC-TMAX
12:44:57 (snpslmd) Test-DelayTest-DiagnosisTest-Eval
12:44:57 (snpslmd) Test-Fault-MaxTest-FaultsimTest-Faultsim-8L
12:44:57 (snpslmd) Test-FormatTest-IDDQTest-IEEE-Std-1149-1
12:44:57 (snpslmd) Test-LBIST-ATPG Test-LBIST-Integration Test-LBIST-Synthesis
12:44:57 (snpslmd) Test-LibraryTest-MBIST-Integration Test-Map
12:44:57 (snpslmd) Test-MbistTest-Mbist-Algorithm Test-Mbist-Bitstream
12:44:57 (snpslmd) Test-Mbist-CAMTest-Mbist-DRAM Test-Mbist-Diagnosis
12:44:57 (snpslmd) Test-Mbist-FLASH Test-Mbist-Multiport Test-Mbist-Program
12:44:57 (snpslmd) Test-PR-1Test-PR-2Test-PR-3
12:44:57 (snpslmd) Test-PR-4Test-PhysicalTest-Power
12:44:57 (snpslmd) Test-RTL-CheckTest-RTL-Tristate Test-SDD-Timing
12:44:57 (snpslmd) Test-STDVRTest-ScanRouteTest-Validate
12:44:57 (snpslmd) TestDesignCorrelation TestManagerTestSim
12:44:57 (snpslmd) TestVESNPS-Assertions SNPS-CSL
12:44:57 (snpslmd) SNPS-KeygenSNPS-MOTIVESPARTAN
12:44:57 (snpslmd) SPARTAN2SPARTAN2ESPARTANXL
12:44:57 (snpslmd) SPECTRALSPECTRAL_MEMSSE STAR-ASCII
12:44:57 (snpslmd) STAR-CRSTAR-DCSTAR-EX
12:44:57 (snpslmd) STAR-EX_ERCSTAR-FILTERSTAR-GAZER
12:44:57 (snpslmd) STAR-LD2LTLSTAR-RSTAR-R-GUI
12:44:57 (snpslmd) STAR-RCSTAR-RC-GUISTAR-RC2
12:44:57 (snpslmd) STAR-RC2-AEOSTAR-RC2-ANALSTAR-RC2-ASCII
12:44:57 (snpslmd) STAR-RC2-CLOCKSTAR-RC2-DBSTAR-RC2-DEBUG
12:44:57 (snpslmd) STAR-RC2-DISTRIB10 STAR-RC2-GUISTAR-RC2-NETLIST
12:44:57 (snpslmd) STAR-RC2-PROBER STAR-RC2-TCADSTAR-RC2-VIEWER
12:44:57 (snpslmd) STAR-RC2-XSTOR1 STAR-RC2-XSTOR2 STAR-RC2-XTR-AEO
12:44:57 (snpslmd) STAR-RC2-XTRACT STAR-RC2_MANAGER STAR-SYN2STAR
12:44:57 (snpslmd) STAR-TCADSTAR-XREFSYMLIB
12:44:57 (snpslmd) SYMLIB_JAPANESE SYMLIB_JAPANESE_MEMSSE SYMLIB_MEMSSE
12:44:57 (snpslmd) SYMLIB_PLUSSYMLIB_PLUS_MEMSSE SYMLIB_POWER
12:44:57 (snpslmd) SYMLIB_POWER_MEMSSE ShortCut-DC-Expert ShortCut-DC-Pro
12:44:57 (snpslmd) Shortcut-FPGASynLib-ALUSynLib-AdvMath
12:44:57 (snpslmd) SynLib-ControlSynLib-DSPFIRSynLib-Eval
12:44:57 (snpslmd) SynLib-FltTolSynLib-PCIbasic SynLib-Seq
12:44:57 (snpslmd) SynLib-VHDLSimMdl SynLib-VerilogSimMdl Synopsys
12:44:57 (snpslmd) Synopsys-QueueSynopsys-Release Synopsys_VCS_cmv
12:44:57 (snpslmd) SK_sdeviceSK_sdevice-3dSK_sdevice-3d_all
12:44:57 (snpslmd) SK_sdevice-densitygrad SK_sdevice-densitygrad_all SK_sdevice-ferro
12:44:57 (snpslmd) SK_sdevice-ferro_all SK_sdevice-floatinggate SK_sdevice-floatinggate_all
12:44:57 (snpslmd) SK_sdevice-gateleakage SK_sdevice-gateleakage_all SK_sdevice-hetero
12:44:57 (snpslmd) SK_sdevice-hetero_all SK_sdevice-hydro SK_sdevice-hydro_all
12:44:57 (snpslmd) SK_sdevice-kpgain SK_sdevice-kpgain_all SK_sdevice-laser
12:44:57 (snpslmd) SK_sdevice-laser_all SK_sdevice-ledSK_sdevice-led_all
12:44:57 (snpslmd) SK_sdevice-mixedmode SK_sdevice-mixedmode_all SK_sdevice-montecarlo
12:44:57 (snpslmd) SK_sdevice-montecarlo_all SK_sdevice-noise SK_sdevice-noise_all
12:44:57 (snpslmd) SK_sdevice-optic SK_sdevice-optic_all SK_sdevice-parallel
12:44:57 (snpslmd) SK_sdevice-parallel4 SK_sdevice-parallel4_all SK_sdevice-parallel_all
12:44:57 (snpslmd) SK_sdevice-pmiSK_sdevice-pmi_all SK_sdevice-radiation
12:44:57 (snpslmd) SK_sdevice-radiation_all SK_sdevice-reliability SK_sdevice-reliability_all
12:44:57 (snpslmd) SK_sdevice-schroedinger SK_sdevice-schroedinger_all SK_sdevice-sige
12:44:57 (snpslmd) SK_sdevice-sige_all SK_sdevice-thermo SK_sdevice-thermo_all
12:44:57 (snpslmd) SK_sdevice-traps SK_sdevice-traps_all SK_sdevice-vectoroptic
12:44:57 (snpslmd) SK_sdevice-vectoroptic_all SK_sdevice_allSK_sdevicemonosemicond
12:44:57 (snpslmd) SK_sdevicemonosemicond_all SK_sparallelSK_sparallel_all
12:44:57 (snpslmd) SK_spcmlibSK_spcmlib_allSK_spcmstd
12:44:57 (snpslmd) SK_spcmstd_allSK_spcmtclSK_spcmtcl_all
12:44:57 (snpslmd) SK_sprocessSK_sprocess-an-imp3d SK_sprocess-an-imp3d_all
12:44:57 (snpslmd) SK_sprocess2dSK_sprocess2d_all SK_sprocess2dmc
12:44:57 (snpslmd) SK_sprocess2dmc_all SK_sprocess3dSK_sprocess3d_all
12:44:57 (snpslmd) SK_sprocess3dmc SK_sprocess3dmc_all SK_sprocess_all
12:44:57 (snpslmd) SK_sprocessmcSK_sprocessmc_all SK_sup2lig
12:44:57 (snpslmd) SK_sup2lig_allSK_swbSK_swb-advanced
12:44:57 (snpslmd) SK_swb-advanced_all SK_swb_allSK_vsea-calib
12:44:57 (snpslmd) SK_vsea-calib_all SK_DeviseSK_Devise-3D
12:44:57 (snpslmd) SK_Devise-3D_all SK_Devise_allSK_Dfisetools
12:44:57 (snpslmd) SK_Dfisetools_all SK_DipSK_Dip_all
12:44:57 (snpslmd) SK_EmlabSK_Emlab-Dessis SK_Emlab-Dessis_all
12:44:57 (snpslmd) SK_Emlab-Farfield SK_Emlab-Farfield_all SK_Emlab-Nonuniform-2D
12:44:57 (snpslmd) SK_Emlab-Nonuniform-2D_all SK_Emlab-Nonuniform-3D SK_Emlab-Nonuniform-3D_all
12:44:57 (snpslmd) SK_Emlab-Static SK_Emlab-Static_all SK_Emlab-Thermal
12:44:57 (snpslmd) SK_Emlab-Thermal_all SK_Emlab-Uniform-2D SK_Emlab-Uniform-2D_all
12:44:57 (snpslmd) SK_Emlab-Uniform-3D SK_Emlab-Uniform-3D_all SK_Emlab_all
12:44:57 (snpslmd) SK_Floops-1DSK_Floops-1D-MC SK_Floops-1D-MC_all
12:44:57 (snpslmd) SK_Floops-1D_all SK_Floops-2DSK_Floops-2D-MC
12:44:57 (snpslmd) SK_Floops-2D-MC_all SK_Floops-2D_all SK_Floops-3D
12:44:57 (snpslmd) SK_Floops-3D-MC SK_Floops-3D-MC_all SK_Floops-3D_all
12:44:57 (snpslmd) SK_GENESISeSK_GENESISe_all SK_Inspect
12:44:57 (snpslmd) SK_Inspect_allSK_LigamentSK_LigamentFE
12:44:57 (snpslmd) SK_LigamentFE_all SK_Ligament_all SK_Mesh1D
12:44:57 (snpslmd) SK_Mesh1D_allSK_Mesh2DSK_Mesh2D_all
12:44:57 (snpslmd) SK_Mesh3DSK_Mesh3D_allSK_Noffset-2D
12:44:57 (snpslmd) SK_Noffset-2D_all SK_Noffset-3DSK_Noffset-3D_all
12:44:57 (snpslmd) SK_OptimISE-DOE SK_OptimISE-DOE_all SK_OptimISE-Iterative
12:44:57 (snpslmd) SK_OptimISE-Iterative_all SK_OptimISE-RSMOpt SK_OptimISE-RSMOpt_all
12:44:57 (snpslmd) SK_OptimISE-Statistical SK_OptimISE-Statistical_all SK_ProcessExplorer
12:44:57 (snpslmd) SK_ProcessExplorer_all SK_ProlytSK_Prolyt_all
12:44:57 (snpslmd) SK_TdxSK_Tdx_allSK_Tecplot
12:44:57 (snpslmd) SK_Tecplot_allSK_TedSK_Ted_all
12:44:57 (snpslmd) SK_alien2ligSK_alien2lig_all SK_amat-calib
12:44:57 (snpslmd) SK_amat-calib_all SK_emwSK_emw-farfield
12:44:57 (snpslmd) SK_emw-farfield_all SK_emw-nonuniform-3d SK_emw-nonuniform-3d_all
12:44:57 (snpslmd) SK_emw-sdeviceSK_emw-sdevice_all SK_emw-static
12:44:57 (snpslmd) SK_emw-static_all SK_emw-thermalSK_emw-thermal_all
12:44:57 (snpslmd) SK_emw-uniform-3d SK_emw-uniform-3d_all SK_emw_all
12:44:57 (snpslmd) SK_sdeSK_sde3dSK_sde3d_all
12:44:57 (snpslmd) SK_sde_allSK_AN-Impl3DSK_AN-Impl3D_all
12:44:57 (snpslmd) SK_DessisSK_Dessis-3DSK_Dessis-3D_all
12:44:57 (snpslmd) SK_Dessis-DensityGrad SK_Dessis-DensityGrad_all SK_Dessis-Ferro
12:44:57 (snpslmd) SK_Dessis-Ferro_all SK_Dessis-FloatingGate SK_Dessis-FloatingGate_all
12:44:57 (snpslmd) SK_Dessis-GateLeakage SK_Dessis-GateLeakage_all SK_Dessis-Hetero
12:44:57 (snpslmd) SK_Dessis-Hetero_all SK_Dessis-Hydro SK_Dessis-Hydro_all
12:44:57 (snpslmd) SK_Dessis-LEDSK_Dessis-LED_all SK_Dessis-Laser
12:44:57 (snpslmd) SK_Dessis-Laser_all SK_Dessis-MixedMode SK_Dessis-MixedMode_all
12:44:57 (snpslmd) SK_Dessis-Mono-Semicond SK_Dessis-Mono-Semicond_all SK_Dessis-MonteCarlo
12:44:57 (snpslmd) SK_Dessis-MonteCarlo_all SK_Dessis-Noise SK_Dessis-Noise_all
12:44:57 (snpslmd) SK_Dessis-Optic SK_Dessis-Optic_all SK_Dessis-PMI
12:44:57 (snpslmd) SK_Dessis-PMI_all SK_Dessis-Parallel SK_Dessis-Parallel4
12:44:57 (snpslmd) SK_Dessis-Parallel4_all SK_Dessis-Parallel_all SK_Dessis-Radiation
12:44:57 (snpslmd) SK_Dessis-Radiation_all SK_Dessis-Reliability SK_Dessis-Reliability_all
12:44:57 (snpslmd) SK_Dessis-Schroedinger SK_Dessis-Schroedinger_all SK_Dessis-SiGe
12:44:57 (snpslmd) SK_Dessis-SiGe_all SK_Dessis-Thermo SK_Dessis-Thermo_all
12:44:57 (snpslmd) SK_Dessis-Traps SK_Dessis-Traps_all SK_Dessis-VectorOptic
12:44:57 (snpslmd) SK_Dessis-VectorOptic_all SK_Dessis-kpGain SK_Dessis-kpGain_all
12:44:57 (snpslmd) SK_Dessis_allSABERRT_ADISABERRT_ADI_MEMSSE
12:44:57 (snpslmd) SABERRT_AP2633SABERRT_FESABERRT_FE_MEMSSE
12:44:57 (snpslmd) SABERRT_HILSABERRT_HIL_MEMSSE SABER_BUNDLE
12:44:57 (snpslmd) SABER_DEV_MMSABER_DEV_MMPSABER_GUIDE
12:44:57 (snpslmd) SABER_GUIDE_MEMSSE SABER_HARNESSSABER_HARNESS_NETLISTER
12:44:57 (snpslmd) SABER_IFSABER_INTERFACE SABER_INTERFACE_MEMSSE
12:44:57 (snpslmd) SABER_LEAPFROG_MM SABER_MODELSIM_MM_MEMSSE SABER_MODELSIM_PLUS_MM
12:44:57 (snpslmd) SABER_MODELSIM_PLUS_MM_MEMSSE SABER_MODELSIM_VHDL_MM SABER_MODELSIM_VHDL_MM_MEMSSE
12:44:57 (snpslmd) SABER_MODELSIM_VLOG_MM SABER_PLUGNSIM_MM SABER_QSIM_MM
12:44:57 (snpslmd) SABER_QVSPRO_MM SABER_RT_MMSABER_RT_MM_MEMSSE
12:44:57 (snpslmd) SABER_RUNTIMESABER_SCOPESABER_SCOPE_MEMSSE
12:44:57 (snpslmd) SABER_SCOPE_NETLIST SABER_SIMMATRIX_MM SABER_SIMULATOR
12:44:57 (snpslmd) SABER_SIMULATOR_MEMSSE SABER_SKETCHSABER_SKETCH_MEMSSE
12:44:57 (snpslmd) SABER_SKETCH_NETLIST SABER_SKETCH_NETLISTER SABER_SKETCH_NETLISTER_MEMSSE
12:44:57 (snpslmd) SABER_TSPSABER_VERILOG_MM SABER_VERILOG_MM_MEMSSE
12:44:57 (snpslmd) SABER_VHDLAMSSABER_VHDLAMS_MEMSSE SABER_VIEWSIM_MM
12:44:57 (snpslmd) SABER_VIEWSIM_MM_MEMSSE SC-BCSC-COSIM
12:44:57 (snpslmd) SC-FPGASC-HLSSC-KAZAM
12:44:57 (snpslmd) SC-RTLSCAN_TOOLSCAN_TOOL_MEMSSE
12:44:57 (snpslmd) SENSITIVITYSENSITIVITY_MEMSSE SGE-DC-Interface
12:44:57 (snpslmd) SGE-EDIF-Interface SGE-ToolSGE-VHDL-Interface
12:44:57 (snpslmd) SGE-Verilog-Interface PhysOptPhysOpt-Beta
12:44:57 (snpslmd) PhysOpt-Beta-CTS PhysOpt-Beta-Milkyway PhysOpt-Beta-Route
12:44:57 (snpslmd) PhysOpt-Beta-SI PhysOpt-ClockTree PhysOpt-Extraction
12:44:57 (snpslmd) PhysOpt-GUIPhysOpt-Hierarchy PhysOpt-Integration
12:44:57 (snpslmd) PhysOpt-MVPhysOpt-Onroute PhysOpt-Parallel
12:44:57 (snpslmd) PhysOpt-RoutePhysOpt-Route-TD PhysOpt-Routing
12:44:57 (snpslmd) PhysOpt-SIPhysOpt-UltraPhysOpt-VH
12:44:57 (snpslmd) PhysOpt-XGPlanetPLPlanetRTL
12:44:57 (snpslmd) Power-AnalysisPower-Optimization Power-Optimization-Beta1
12:44:57 (snpslmd) Power-Optimization-Beta2 Power-Optimization-Upgrade PrimeRail
12:44:57 (snpslmd) PrimeRail-New-Technology PrimeRail-advPrimeRail-static
12:44:57 (snpslmd) PrimeTimePrimeTime-New-Technology PrimeTime-PX
12:44:57 (snpslmd) PrimeTime-PX-New-Technology PrimeTime-PX-Statistical PrimeTime-Plus
12:44:57 (snpslmd) PrimeTime-SIPrimeTime-VXPrimeTime_gui
12:44:57 (snpslmd) ProMA-L1ProMA-L2ProMA-LD
12:44:57 (snpslmd) ProMA-P1ProMA-P2ProMA-PD
12:44:57 (snpslmd) ProcessExplorer ProcessExplorer_all ProcessExplorer_ise
12:44:57 (snpslmd) ProcessExplorer_ise_all ProlytProlyt_all
12:44:57 (snpslmd) Prolyt_iseProlyt_ise_allProtocol-Compiler-Analysis
12:44:57 (snpslmd) Protocol-Compiler-COutput Protocol-Compiler-FML Protocol-Compiler-Synth
12:44:57 (snpslmd) Protocol-Compiler-UI PsynGui-AARender PsynGui-ChipMap
12:44:57 (snpslmd) RTL-AnalyzerRTL-Analyzer-DAP RTL-Analyzer-Shell
12:44:57 (snpslmd) RTL-Analyzer-Shell-DAP RTL-Power-Analysis OPT_TEMPLATE_LIB
12:44:57 (snpslmd) OPT_TEMPLATE_LIB_MEMSSE ORCA2AORCA2TB
12:44:57 (snpslmd) ORCA3CORCA3FPSCORCA3L
12:44:57 (snpslmd) ORCA4EOptimISE-DOEOptimISE-DOE_all
12:44:57 (snpslmd) OptimISE-DOE_ise OptimISE-DOE_ise_all OptimISE-Iterative
12:44:57 (snpslmd) OptimISE-Iterative_all OptimISE-Iterative_ise OptimISE-Iterative_ise_all
12:44:57 (snpslmd) OptimISE-RSMOpt OptimISE-RSMOpt_all OptimISE-RSMOpt_ise
12:44:57 (snpslmd) OptimISE-RSMOpt_ise_all OptimISE-Statistical OptimISE-Statistical_all
12:44:57 (snpslmd) OptimISE-Statistical_ise OptimISE-Statistical_ise_all NanoTime
12:44:57 (snpslmd) NanoTime-PathMill-Shared NanoTime-ultraNoffset-2D
12:44:57 (snpslmd) Noffset-2D_allNoffset-2D_iseNoffset-2D_ise_all
12:44:57 (snpslmd) Noffset-3DNoffset-3D_allNoffset-3D_ise
12:44:57 (snpslmd) Noffset-3D_ise_all MACH1MACH2
12:44:57 (snpslmd) MACH3MACH4MACH5
12:44:57 (snpslmd) MAX3000AMAX7000MAX7000A
12:44:57 (snpslmd) MAX7000AEMAX7000BMAX7000E
12:44:57 (snpslmd) MAX7000SMAX9000MC-Pro
12:44:57 (snpslmd) MC-Pro-RPMC-RetimeMCE
12:44:57 (snpslmd) MCE-BaseMCE-EvalMCE-Lib-ALU
12:44:57 (snpslmd) MCE-Lib-Arith1MCE-Lib-Arith2MCE-Lib-Arith3
12:44:57 (snpslmd) MCE-Lib-LogicMDAMMDCA
12:44:57 (snpslmd) MDHJMDLTMDOD
12:44:57 (snpslmd) MDPDMDTCMICROCOSM_LIB
12:44:57 (snpslmd) MICROCOSM_LIB2MICROCOSM_LIB2_MEMSSE MICROCOSM_LIB3
12:44:57 (snpslmd) MICROCOSM_LIB3_MEMSSE MICROCOSM_LIB4MICROCOSM_LIB4_MEMSSE
12:44:57 (snpslmd) MICROCOSM_LIB5MICROCOSM_LIB5_MEMSSE MICROCOSM_LIB6
12:44:57 (snpslmd) MICROCOSM_LIB6_MEMSSE MICROCOSM_LIB7MICROCOSM_LIB7_MEMSSE
12:44:57 (snpslmd) MICROCOSM_LIB_MEMSSE MIF-ReaderMIF-Writer
12:44:57 (snpslmd) MODEL_ARCHITECT MODEL_ENCRYPTION MODEL_ENCRYPTION_MEMSSE
12:44:57 (snpslmd) MODEL_SYNTHESIS MODEL_SYNTHESIS_MEMSSE MODPEX
12:44:57 (snpslmd) MODPEX_AQUEDUCT MODPEX_ASIMMODPEX_ATFT
12:44:57 (snpslmd) MODPEX_AWBMODPEX_CTCMODPEX_ELDO
12:44:57 (snpslmd) MODPEX_MARELLIMODPEX_NOPOWERMODPEX_PTFT
12:44:57 (snpslmd) MODPEX_SABERMODPEX_STATISMODPEX_TVS
12:44:57 (snpslmd) MONTE_CARLOMONTE_CARLO_MEMSSE MOS_TOOL
12:44:57 (snpslmd) MOS_TOOL_MEMSSE MOTIVE-PrimeTime MOTOR_DCPM_TOOL
12:44:57 (snpslmd) MOTOR_DCPM_TOOL_MEMSSE MV-Astro-OptMV-Opt
12:44:57 (snpslmd) MVerilogMWAPIaccessMWAPIdev
12:44:57 (snpslmd) MagellanMagellan-ShellMagellan-Sim
12:44:57 (snpslmd) Magellan-TBMesh1DMesh1D_all
12:44:57 (snpslmd) Mesh1D_iseMesh1D_ise_allMesh2D
12:44:57 (snpslmd) Mesh2D_allMesh2D_iseMesh2D_ise_all
12:44:57 (snpslmd) Mesh3DMesh3D_allMesh3D_ise
12:44:57 (snpslmd) Mesh3D_ise_allMillennium-ATPG-EE Millennium-ATPG-STD
12:44:57 (snpslmd) Millennium-Beta Millennium-DRCMillennium-Eval
12:44:57 (snpslmd) Millennium-FSMixed-LanguageMixed-Paradigm
12:44:57 (snpslmd) LINK_MATLABLINK_MATLAB_MEMSSE LINK_XMATH
12:44:57 (snpslmd) LMCSwiftLMCSwift_NetLMCSwift_Node
12:44:57 (snpslmd) LOAD_TOOLLOAD_TOOL_MEMSSE LTL-100
12:44:57 (snpslmd) LTL-100_CIFLTL-100_GDSLTL-100_NDW
12:44:57 (snpslmd) LTX_LIBRARYLaker_AMSLaker_L1
12:44:57 (snpslmd) Laker_L2Laker_L3Laker_T1
12:44:57 (snpslmd) Laker_T1_LELaker_ViewerLaker_iDRC
12:44:57 (snpslmd) Laker_iLVSLigamentLigamentFE
12:44:57 (snpslmd) LigamentFE_allLigamentFE_iseLigamentFE_ise_all
12:44:57 (snpslmd) Ligament_allLigament_iseLigament_ise_all
12:44:57 (snpslmd) JUAOPASJUAPlanJUATime
12:44:57 (snpslmd) JUAUDJUAdvOptAJUAdvOptB
12:44:57 (snpslmd) JUClockJUDPJUOPAS
12:44:57 (snpslmd) JUPlanJUTimeJUUD
12:44:57 (snpslmd) JUVSJupiterJupiterXT
12:44:57 (snpslmd) JupiterXT_VFIQBUS_AUTHORIQBUS_AUTHOR_MEMSSE
12:44:57 (snpslmd) IQBUS_DESIGNERIQBUS_LIBIQBUS_LIB_MEMSSE
12:44:57 (snpslmd) InspectInspect_allInspect_ise
12:44:57 (snpslmd) Inspect_ise_all Interface-Shell Interfise_all
12:44:57 (snpslmd) HARNESS_CATIAHARNESS_MCADREAD HARNESS_PROCABLE
12:44:57 (snpslmd) HARNESS_UNIGRAPHICS HDLHDL-Advisor
12:44:57 (snpslmd) HDL-Advisor-Estimator HDL-Advisor-Estimator-Package HDL-Advisor-Package
12:44:57 (snpslmd) HDL-Advisor-Shell HDL-Advisor-Shell-Estimator HDL-Advisor-Shell-Estm-Package
12:44:57 (snpslmd) HDL-Advisor-Shell-Package HDL-CompilerHDL-Compiler-Old
12:44:57 (snpslmd) HDL-Compiler-SystemVerilog HDL2SC_mixedHDL2SC_verilog
12:44:57 (snpslmd) HDL2SC_vhdlHERCULES-CRYPT_XREF_DATA HERCULES-DISTRIBUTED
12:44:57 (snpslmd) HERCULES-DISTRIBUTED-2 HERCULES-DISTRIBUTED-24 HERCULES-DISTRIBUTED-24/2000.4
12:44:57 (snpslmd) HERCULES-DISTRIBUTED-4 HERCULES-DP_MTHERCULES-EXPLORER_DRC
12:44:57 (snpslmd) HERCULES-EXPLORER_FILTERS HERCULES-EXPLORER_LVS HERCULES-FINDSHORT
12:44:57 (snpslmd) HERCULES-NETLIST HERCULES-RUN_TRAN HERCULES_DEBUGGER
12:44:57 (snpslmd) HERCULES_DEVICE HERCULES_DRCHERCULES_ERC
12:44:57 (snpslmd) HERCULES_HDRCHERCULES_HDRC-HTML HERCULES_HERC-ADV
12:44:57 (snpslmd) HERCULES_HERC-BASIC HERCULES_HLPEHERCULES_HLVS
12:44:57 (snpslmd) HERCULES_HLVS-DEBUG HERCULES_HLVS-HTML HERCULES_LVS
12:44:57 (snpslmd) HERCULES_MANAGER HERCULES_MASKHERCULES_RCE
12:44:57 (snpslmd) HERCULES_VUEGENESISeGENESISe_all
12:44:57 (snpslmd) GENESISe_iseGENESISe_ise_all Galaxy-AdvCTS
12:44:57 (snpslmd) Galaxy-AdvOptGalaxy-AdvRules Galaxy-AdvTech
12:44:57 (snpslmd) Galaxy-BetaGalaxy-CCSGalaxy-CTMesh
12:44:57 (snpslmd) Galaxy-CommonGalaxy-DFTGalaxy-DFY
12:44:57 (snpslmd) Galaxy-FPGalaxy-FP-AdvCTS Galaxy-FP-AdvTech
12:44:57 (snpslmd) Galaxy-FP-HierGalaxy-FP-MVGalaxy-FlipChip
12:44:57 (snpslmd) Galaxy-GUI-PNRGalaxy-GUI-PSYN Galaxy-ICC
12:44:57 (snpslmd) Galaxy-IUGalaxy-Internal-Only Galaxy-MCMM
12:44:57 (snpslmd) Galaxy-MVGalaxy-PNRGalaxy-PSYN
12:44:57 (snpslmd) Galaxy-PowerGalaxy-Prototype Galaxy-SPG
12:44:57 (snpslmd) Galaxy-ZrouteGalaxy_FP_BetaGalaxy_MultiRoute4
12:44:57 (snpslmd) Galaxy_MultiRoute8 GalileoGalileo-GUI
12:44:57 (snpslmd) Galileo-Internal-Only Galileo-PSYNGalileo-PnR
12:44:57 (snpslmd) Gate2LayoutCorrelation Gate2RTLCorrelation Gsim_dump_advance
12:44:57 (snpslmd) Gsim_dump_basic Gsim_framework_advance Gsim_framework_basic
12:44:57 (snpslmd) Gsim_snapshotGsim_waveFloops-1D
12:44:57 (snpslmd) Floops-1D-MCFloops-1D-MC_all Floops-1D-MC_ise
12:44:57 (snpslmd) Floops-1D-MC_ise_all Floops-1D_allFloops-1D_ise
12:44:57 (snpslmd) Floops-1D_ise_all Floops-2DFloops-2D-MC
12:44:57 (snpslmd) Floops-2D-MC_all Floops-2D-MC_ise Floops-2D-MC_ise_all
12:44:57 (snpslmd) Floops-2D_allFloops-2D_iseFloops-2D_ise_all
12:44:57 (snpslmd) Floops-3DFloops-3D-MCFloops-3D-MC_all
12:44:57 (snpslmd) Floops-3D-MC_ise Floops-3D-MC_ise_all Floops-3D_all
12:44:57 (snpslmd) Floops-3D_iseFloops-3D_ise_all Floops-Parallel4_all
12:44:57 (snpslmd) Floops-Parallel_all FormalityFormality-Beta1
12:44:57 (snpslmd) Formality-Beta2 Formality-DVFormality-Distributed
12:44:57 (snpslmd) Formality-E1Formality-ESPFormality-TX
12:44:57 (snpslmd) Formality-TransForm Formality-Transit Fridge-GUI
12:44:57 (snpslmd) Fridge-Interpolator Fridge-Simulation FLEX10K
12:44:57 (snpslmd) FLEX10KAFLEX10KBFLEX10KE
12:44:57 (snpslmd) FLEX6000FLEX8000FLE_main
12:44:57 (snpslmd) FL_cosim_runFL_ice_runFL_multichip_partition
12:44:57 (snpslmd) FL_recalcFPGA-CompilerFPGA-Express
12:44:57 (snpslmd) FPGA-Express-32OODx-Optimizer FPGA-Express-A1200XL-Optimizer FPGA-Express-A1400-Optimizer
12:44:57 (snpslmd) FPGA-Express-A3200DX-Optimizer FPGA-Express-A42MX-Optimizer FPGA-Express-A54SX-Optimizer
12:44:57 (snpslmd) FPGA-Express-APEX2-Optimizer FPGA-Express-APROA-Optimizer FPGA-Express-Constraint-Mgr
12:44:57 (snpslmd) FPGA-Express-EP20k-Optimizer FPGA-Express-EPF10k-Optimizer FPGA-Express-EPF6k-Optimizer
12:44:57 (snpslmd) FPGA-Express-EPF8k-Optimizer FPGA-Express-EPM7k-Optimizer FPGA-Express-EPM9k-Optimizer
12:44:57 (snpslmd) FPGA-Express-GAT FPGA-Express-ISP4000-Optimizer FPGA-Express-ISP5000-Optimizer
12:44:57 (snpslmd) FPGA-Express-MERCURY-Optimizer FPGA-Express-ORCA2-Optimizer FPGA-Express-ORCA3-Optimizer
12:44:57 (snpslmd) FPGA-Express-Open-Optimizer FPGA-Express-Synario-Optimizer FPGA-Express-VHDL-Base
12:44:57 (snpslmd) FPGA-Express-VHDL-Training FPGA-Express-VIRTEX-Optimizer FPGA-Express-VLOG-Base
12:44:57 (snpslmd) FPGA-Express-XC3k-Optimizer FPGA-Express-XC4k-Optimizer FPGA-Express-XC5k-Optimizer
12:44:57 (snpslmd) FPGA-Express-XC9k-Optimizer FPGA-Express-isp1K-Optimizer FPGA-Express-isp2K-Optimizer
12:44:57 (snpslmd) FPGA-Express-isp3K-Optimizer FPGA-Express-isp4K-Optimizer FPGA-Express-isp5K-Optimizer
12:44:57 (snpslmd) FPGA-Express-isp6K-Optimizer FPGA-Express-isp7K-Optimizer FPGA-Express-isp8K-Optimizer
12:44:57 (snpslmd) FPGA-HDL-Bundle FPGA-Library-Compiler FPGA-Option
12:44:57 (snpslmd) FPGA-VHDL-Bundle FPGA_Express-APROA-Optimizer Emlab
12:44:57 (snpslmd) Emlab-DessisEmlab-Dessis_all Emlab-Dessis_ise
12:44:57 (snpslmd) Emlab-Dessis_ise_all Emlab-FarfieldEmlab-Farfield_all
12:44:57 (snpslmd) Emlab-Farfield_ise Emlab-Farfield_ise_all Emlab-Nonuniform-2D
12:44:57 (snpslmd) Emlab-Nonuniform-2D_all Emlab-Nonuniform-2D_ise Emlab-Nonuniform-2D_ise_all
12:44:57 (snpslmd) Emlab-Nonuniform-3D Emlab-Nonuniform-3D_all Emlab-Nonuniform-3D_ise
12:44:57 (snpslmd) Emlab-Nonuniform-3D_ise_all Emlab-StaticEmlab-Static_all
12:44:57 (snpslmd) Emlab-Static_ise Emlab-Static_ise_all Emlab-Thermal
12:44:57 (snpslmd) Emlab-Thermal_all Emlab-Thermal_ise Emlab-Thermal_ise_all
12:44:57 (snpslmd) Emlab-Uniform-2D Emlab-Uniform-2D_all Emlab-Uniform-2D_ise
12:44:57 (snpslmd) Emlab-Uniform-2D_ise_all Emlab-Uniform-3D Emlab-Uniform-3D_all
12:44:57 (snpslmd) Emlab-Uniform-3D_ise Emlab-Uniform-3D_ise_all Emlab_all
12:44:57 (snpslmd) Emlab_iseEmlab_ise_allEnterprise
12:44:57 (snpslmd) Enterprise_VOEquation-Reader Equation-Writer
12:44:57 (snpslmd) Espresso-Reader Espresso-Writer Estm-HDL-Advisor
12:44:57 (snpslmd) Estm-HDL-Advisor-Package E3E5
12:44:57 (snpslmd) E502E505E520
12:44:57 (snpslmd) ECL-CompilerECO-CompilerEDIF-Netlist-Read-DC
12:44:57 (snpslmd) EDIF-Netlist-Write-DC EDIF-Netlist-Writer EDIF-Reader
12:44:57 (snpslmd) EDIF-Schematic-Writer EDIF_NETLISTEREDIF_NETLISTER_MEMSSE
12:44:57 (snpslmd) ELGRECO_DavisELGRECO_DesignCenter ELGRECO_Simulator
12:44:57 (snpslmd) ENACEENACSEV-ACCESS
12:44:57 (snpslmd) EV-ACCESS_UTILEXCALIBUR_ARMEXCALIBUR_mips
12:44:57 (snpslmd) EXPT_EDITOREXPT_EDITOR_MEMSSE EXPT_EDITOR_PLUS
12:44:57 (snpslmd) EXPT_EDITOR_PLUS_MEMSSE DeviseDevise-3D
12:44:57 (snpslmd) Devise-3D_allDevise-3D_iseDevise-3D_ise_all
12:44:57 (snpslmd) Devise_allDevise_iseDevise_ise_all
12:44:57 (snpslmd) DfisetoolsDfisetools-Topo_all Dfisetools_all
12:44:57 (snpslmd) Dfisetools_iseDfisetools_ise_all Dios-3D_all
12:44:57 (snpslmd) Dios-MC_allDios-PDEF_allDios-SDO_all
12:44:57 (snpslmd) DiosSmall_allDios_allDip
12:44:57 (snpslmd) Dip_allDip_iseDip_ise_all
12:44:57 (snpslmd) Dessis-OpticDessis-Optic_all Dessis-Optic_ise
12:44:57 (snpslmd) Dessis-Optic_ise_all Dessis-PMIDessis-PMI_all
12:44:57 (snpslmd) Dessis-PMI_iseDessis-PMI_ise_all Dessis-Parallel
12:44:57 (snpslmd) Dessis-Parallel4 Dessis-Parallel4_all Dessis-Parallel4_ise
12:44:57 (snpslmd) Dessis-Parallel4_ise_all Dessis-Parallel_all Dessis-Parallel_ise
12:44:57 (snpslmd) Dessis-Parallel_ise_all Dessis-Radiation Dessis-Radiation_all
12:44:57 (snpslmd) Dessis-Radiation_ise Dessis-Radiation_ise_all Dessis-Reliability
12:44:57 (snpslmd) Dessis-Reliability_all Dessis-Reliability_ise Dessis-Reliability_ise_all
12:44:57 (snpslmd) Dessis-Schroedinger Dessis-Schroedinger_all Dessis-Schroedinger_ise
12:44:57 (snpslmd) Dessis-Schroedinger_ise_all Dessis-SiGeDessis-SiGe_all
12:44:57 (snpslmd) Dessis-SiGe_ise Dessis-SiGe_ise_all Dessis-Thermo
12:44:57 (snpslmd) Dessis-Thermo_all Dessis-Thermo_ise Dessis-Thermo_ise_all
12:44:57 (snpslmd) Dessis-TrapsDessis-Traps_all Dessis-Traps_ise
12:44:57 (snpslmd) Dessis-Traps_ise_all Dessis-VectorOptic Dessis-VectorOptic_all
12:44:57 (snpslmd) Dessis-VectorOptic_ise Dessis-VectorOptic_ise_all Dessis-kpGain
12:44:57 (snpslmd) Dessis-kpGain_all Dessis-kpGain_ise Dessis-kpGain_ise_all
12:44:57 (snpslmd) Dessis_allDessis_iseDessis_ise_all
12:44:57 (snpslmd) DessisDessis-3DDessis-3D_all
12:44:57 (snpslmd) Dessis-3D_iseDessis-3D_ise_all Dessis-BONSIM_all
12:44:57 (snpslmd) Dessis-DensityGrad Dessis-DensityGrad_all Dessis-DensityGrad_ise
12:44:57 (snpslmd) Dessis-DensityGrad_ise_all Dessis-FerroDessis-Ferro_all
12:44:57 (snpslmd) Dessis-Ferro_ise Dessis-Ferro_ise_all Dessis-FloatingGate
12:44:57 (snpslmd) Dessis-FloatingGate_all Dessis-FloatingGate_ise Dessis-FloatingGate_ise_all
12:44:57 (snpslmd) Dessis-GateLeakage Dessis-GateLeakage_all Dessis-GateLeakage_ise
12:44:57 (snpslmd) Dessis-GateLeakage_ise_all Dessis-HeteroDessis-Hetero_all
12:44:57 (snpslmd) Dessis-Hetero_ise Dessis-Hetero_ise_all Dessis-Hydro
12:44:57 (snpslmd) Dessis-Hydro_all Dessis-Hydro_ise Dessis-Hydro_ise_all
12:44:57 (snpslmd) Dessis-LEDDessis-LED_allDessis-LED_ise
12:44:57 (snpslmd) Dessis-LED_ise_all Dessis-LaserDessis-Laser_all
12:44:57 (snpslmd) Dessis-Laser_ise Dessis-Laser_ise_all Dessis-MixedMode
12:44:57 (snpslmd) Dessis-MixedMode_all Dessis-MixedMode_ise Dessis-MixedMode_ise_all
12:44:57 (snpslmd) Dessis-Mono-Semicond Dessis-Mono-Semicond_all Dessis-Mono-Semicond_ise
12:44:57 (snpslmd) Dessis-Mono-Semicond_ise_all Dessis-MonteCarlo Dessis-MonteCarlo_all
12:44:57 (snpslmd) Dessis-MonteCarlo_ise Dessis-MonteCarlo_ise_all Dessis-Noise
12:44:57 (snpslmd) Dessis-Noise_all Dessis-Noise_ise Dessis-Noise_ise_all
12:44:57 (snpslmd) DesignWareDesignWare-1394 DesignWare-1394-Source
12:44:57 (snpslmd) DesignWare-6811-Source DesignWare-8051 DesignWare-8051-Source
12:44:57 (snpslmd) DesignWare-8051MCU DesignWare-AMBA-tlm DesignWare-ARMCORES-tlm
12:44:57 (snpslmd) DesignWare-BIST DesignWare-BIST-Source DesignWare-Cardbus
12:44:57 (snpslmd) DesignWare-Developer-MGI DesignWare-ETHERNET DesignWare-ETHERNET-Source
12:44:57 (snpslmd) DesignWare-FloatingPoint DesignWare-Foundation DesignWare-Foundation-Exp
12:44:57 (snpslmd) DesignWare-Foundation-Expert DesignWare-Foundation-Power DesignWare-Foundation-Ultra
12:44:57 (snpslmd) DesignWare-ISA-PnP DesignWare-MEMCTL-Source DesignWare-MGI
12:44:57 (snpslmd) DesignWare-MPEG DesignWare-MPEG-Source DesignWare-MPEG2
12:44:57 (snpslmd) DesignWare-MPEG2-Source DesignWare-MPEG2-VDEC DesignWare-MPEG2-VDEC-Source
12:44:57 (snpslmd) DesignWare-MemoryBist DesignWare-MemoryBist-Source DesignWare-PCI
12:44:57 (snpslmd) DesignWare-PCI-Source DesignWare-PCI-X DesignWare-PCI-X-Source
12:44:57 (snpslmd) DesignWare-PCIbasic DesignWare-Package DesignWare-SystemIO
12:44:57 (snpslmd) DesignWare-SystemIO-Source DesignWare-TCADesignWare-TCA-Source
12:44:57 (snpslmd) DesignWare-USBDesignWare-USB-Source DesignWare-USB2
12:44:57 (snpslmd) DesignWare-USB2-Source DesignWare-VERA Designware-Basic
12:44:57 (snpslmd) Designware-Developer Designware-FPGA-Basic DV
12:44:57 (snpslmd) DVAMDVCADVHJ
12:44:57 (snpslmd) DVLTDVODDVPD
12:44:57 (snpslmd) DVTCDW-DeveloperDW-IP-Consultant
12:44:57 (snpslmd) DW-IP-DEBUGDW-IP-Developer DWC-AHB-ICTL-Source
12:44:57 (snpslmd) DWC-AHB-SourceDWC-AMBA-Fabric-Source DWC-APB-Advanced-Source
12:44:57 (snpslmd) DWC-APB-ICTL-Source DWC-APB-Periph-Source DWC-DMA-Controller-Source
12:44:57 (snpslmd) DWC-DMAC-Source DWC-GPIO-Source DWC-I2C-Source
12:44:57 (snpslmd) DWC-INTC-CNTL-Source DWC-MEMCTL-Source DWC-RAP-Source
12:44:57 (snpslmd) DWC-RTC-SourceDWC-SSI-SourceDWC-TIMERS-Source
12:44:57 (snpslmd) DWC-UART-SRCDWC-UART-Source DWC-WDT-Source
12:44:57 (snpslmd) DataExpansionDataExpansionCoverage DataExpansionSim
12:44:57 (snpslmd) DataReplayDataReplaySimDebussy
12:44:57 (snpslmd) Debussy_sharedDesign-Analyzer Design-Analyzer-DAP
12:44:57 (snpslmd) Design-Budgeting Design-Compiler Design-Estimator
12:44:57 (snpslmd) Design-Estimator-FPI Design-ExpertDesign-Vision
12:44:57 (snpslmd) DesignSourceDesignSource-Estimator-Package DesignSource-Package
12:44:57 (snpslmd) DesignTimeDC-BetaDC-Cadence-Interface
12:44:57 (snpslmd) DC-ExpertDC-ExtensionDC-FPGA-Accelerator
12:44:57 (snpslmd) DC-FPGA-Add-OnDC-FPGA-Add-On-to-DC DC-FPGA-INCREMENTs
12:44:57 (snpslmd) DC-Falcon-Interface DC-Layout-Interface DC-Min-Area-Retime
12:44:57 (snpslmd) DC-SDF-Interface DC-Topographical DC-Ultra
12:44:57 (snpslmd) DC-Ultra-Features DC-Ultra-INCREMENTs DC-Ultra-Opt
12:44:57 (snpslmd) DC-XGDC64DCExpert-PrimeTime
12:44:57 (snpslmd) DCM-Delay-Calculation DCT-CongestionDCT-GUI
12:44:57 (snpslmd) DESIGNSTARDESIGNSTAR_NETDESIGNWARE-AMBA-VIP
12:44:57 (snpslmd) DESIGNWARE-ETHERNET-VIP DESIGNWARE-I2C-VIP DESIGNWARE-REGRESSION
12:44:57 (snpslmd) DESIGNWARE-SIO-VIP DESIGNWARE-USB-VIP DESIGNWARE-VERIFICATION
12:44:57 (snpslmd) DIODE_TOOLDIODE_TOOL_MEMSSE DRCYCLE_TOOL
12:44:57 (snpslmd) DRCYCLE_TOOL_MEMSSE DS-Schem-GenDS-Schem-Gen-Estimator-Pa
12:44:57 (snpslmd) DS-Schem-Gen-Estimator-Package DS-Schem-Gen-Package DS-Verinet
12:44:57 (snpslmd) DS-Verinet-Estimator-Pack DS-Verinet-Estimator-Package DS-Verinet-Package
12:44:57 (snpslmd) DS-VhdlnetDS-Vhdlnet-Estimator-Pack DS-Vhdlnet-Estimator-Package
12:44:57 (snpslmd) DS-Vhdlnet-Package COVENTOR_LIB10COVENTOR_LIB10_MEMSSE
12:44:57 (snpslmd) COVENTOR_LIB11COVENTOR_LIB11_MEMSSE COVENTOR_LIB12
12:44:57 (snpslmd) COVENTOR_LIB12_MEMSSE COVENTOR_LIB13COVENTOR_LIB13_MEMSSE
12:44:57 (snpslmd) COVENTOR_LIB14COVENTOR_LIB14_MEMSSE COVENTOR_LIB15
12:44:57 (snpslmd) COVENTOR_LIB15_MEMSSE COVENTOR_LIB8COVENTOR_LIB8_MEMSSE
12:44:57 (snpslmd) COVENTOR_LIB9COVENTOR_LIB9_MEMSSE CRS_COVERMETER
12:44:57 (snpslmd) CRS_VCMCRS_VCSCRS_VCSi
12:44:57 (snpslmd) CXp_AnalysisCXp_CircuitEnvironment CXp_GUI
12:44:57 (snpslmd) CmMonitorCmViewCoCentric-FXD-GUI
12:44:57 (snpslmd) CoCentric-FXD-Interpolator CoCentric-FXD-Simulation CoCentric-SYS-Davis
12:44:57 (snpslmd) CoCentric-SYS-DesignCenter CoCentric-SYS-HWSimIF CoCentric-SYS-HWflow
12:44:57 (snpslmd) CoCentric-SYS-RDK-adsl CoCentric-SYS-RDK-cdma2000 CoCentric-SYS-RDK-docsis
12:44:57 (snpslmd) CoCentric-SYS-Simulator ColumbiaColumbiaTE
12:44:57 (snpslmd) CoverMeterCoverMeterOBCCoverMeterOBCVCSAMSCompiler
12:44:57 (snpslmd) Cyclone-Code-Generator Cyclone-CoreCyclone-Elaborator
12:44:57 (snpslmd) Cyclone-GUICyclone-HDL-Analyzer Cyclone-Simulator
12:44:57 (snpslmd) Cyclone-VHDL-Analyzer Cyclone-cosimCOSSAP_adpcm
12:44:57 (snpslmd) COSSAP_adslCOSSAP_amrCOSSAP_arm
12:44:57 (snpslmd) COSSAP_bdeCOSSAP_celpCOSSAP_chart
12:44:57 (snpslmd) COSSAP_cw_filter_hdl COSSAP_cw_filter_sds COSSAP_dab
12:44:57 (snpslmd) COSSAP_dcg_ad21020 COSSAP_dcg_ansic COSSAP_dcg_ariel32c
12:44:57 (snpslmd) COSSAP_dcg_feCOSSAP_dcg_gcCOSSAP_dcg_krc
12:44:57 (snpslmd) COSSAP_dcg_lsic30s COSSAP_dcg_m96000 COSSAP_dcg_mp
12:44:57 (snpslmd) COSSAP_ddk_armCOSSAP_ddk_devlp COSSAP_ddk_dsp16000
12:44:57 (snpslmd) COSSAP_ddk_dsp1610 COSSAP_ddk_hawk COSSAP_ddk_nec
12:44:57 (snpslmd) COSSAP_ddk_oakCOSSAP_ddk_pine COSSAP_ddk_ssp16xx
12:44:57 (snpslmd) COSSAP_ddk_tic5x COSSAP_ddk_tic5xx COSSAP_dect
12:44:57 (snpslmd) COSSAP_dvbCOSSAP_eccCOSSAP_gsmdve
12:44:57 (snpslmd) COSSAP_gsmdve_utils COSSAP_gsmefrsc COSSAP_gsmeq
12:44:57 (snpslmd) COSSAP_gsmfrccCOSSAP_gsmhrscCOSSAP_gsmphy
12:44:57 (snpslmd) COSSAP_is136COSSAP_is95COSSAP_matlab
12:44:57 (snpslmd) COSSAP_mfdCOSSAP_mpeg2COSSAP_pdc
12:44:57 (snpslmd) COSSAP_qedCOSSAP_sdsCOSSAP_srcfd
12:44:57 (snpslmd) COSSAP_vcg_generic COSSAP_vcg_synopsys COSSAP_vcg_vantage
12:44:57 (snpslmd) COSSAP_vdefcgCOSSAP_vdefcg_vlgxl COSSAP_vsiccg
12:44:57 (snpslmd) COSSAP_vsiccg_cyc COSSAP_vsiccg_mti COSSAP_vsiccg_mtivlg
12:44:57 (snpslmd) COSSAP_vsiccg_vcs COSSAP_vsiccg_vlgxl COSSAP_vsivcg
12:44:57 (snpslmd) COSSAP_vsivcg_vlgxl COSSAP_xdcgCOSSAP_xvcg
12:44:57 (snpslmd) COSSAP_xvsiCA-CP-AdvancedCA-CP-Basic
12:44:57 (snpslmd) CA-CP-StandardCA-Chip-EditCA-Foundation
12:44:57 (snpslmd) CA-FrameCA-Hier-TimerCA-Optimization
12:44:57 (snpslmd) CA-TimerCA-UtilsCADENCE_divA_NETLISTER
12:44:57 (snpslmd) CADENCE_FRAMEWAY CADENCE_FRAMEWAY_MEMSSE CADENCE_NETLISTER
12:44:57 (snpslmd) CADENCE_NETLISTER_MEMSSE CBA-ApolloGA-Interface CBA-Blk-Export
12:44:57 (snpslmd) CBA-Blk-ImportCBA-CadenceSE-Interface CBA-DS-Beta
12:44:57 (snpslmd) CBA-FrameCBA-Logical-DSCBA-Logical-MA
12:44:57 (snpslmd) CBA-Physical-DS CBA-Physical-MA CBA-Transport
12:44:57 (snpslmd) CD-Compiled-Lib-Gen CD-Compiled-Sys-Gen CD-GDI
12:44:57 (snpslmd) CD-GDII-LinkCD-MSSC-Cross-Probe CD-MSSC-Netlist
12:44:57 (snpslmd) CD-Model-Developer CD-Present-Builder CD-Present-Layer-Gen
12:44:57 (snpslmd) CD-REXCD-Vhdlgen-GUICD-Vhdlgen-Gen
12:44:57 (snpslmd) COMPONENT_LIBRARY COMPONENT_LIBRARY_MEMSSE CONCEPT_FRAMEWAY
12:44:57 (snpslmd) CONCEPT_NETLISTER COOLRUNNERCOOLRUNNER2
12:44:57 (snpslmd) CORENL_CHARCORENL_CHAR_MEMSSE COSIM-LFG
12:44:57 (snpslmd) COSIM-MTICOSIM-NCVCOSIM-SRO
12:44:57 (snpslmd) COSIM-VCSCOSIM-VXLCOSMOS
12:44:57 (snpslmd) COSMOS_GUIDECOSMOS_SKETCHCOSMOS_SKETCH_BACK_ANN
12:44:57 (snpslmd) COSMOS_SKETCH_NETLISTER COSMOS_VOBASIC_ANALYSES
12:44:57 (snpslmd) BASIC_ANALYSES_MEMSSE BATCH_MEASUREBATCH_MEASURE_MEMSSE
12:44:57 (snpslmd) BATT_TOOLBATT_TOOL_MEMSSE BC-FPGA
12:44:57 (snpslmd) BC-FPGA-HDLBC-FPGA-VHDLBC-HDL
12:44:57 (snpslmd) BC-ScheduleBC-VHDLBETA_LIBRARY
12:44:57 (snpslmd) BOA-BRTBUILD_DATEBUILD_NUMBER
12:44:57 (snpslmd) BUNDLE_MCAD_PROJECTION Behavioral-Analyzer Behavioral-Compiler
12:44:57 (snpslmd) A3200DXA42MXA500K
12:44:57 (snpslmd) A54SXA54SXAA7
12:44:57 (snpslmd) AIM_DEBUGAIM_DEBUG_MEMSSE AIM_DECRYPT
12:44:57 (snpslmd) AIM_DEVELOPERAIM_DEVELOPER_MEMSSE AIM_ENCRYPT
12:44:57 (snpslmd) ALTGEN1ALTGEN2AN-Impl3D
12:44:57 (snpslmd) AN-Impl3D_allAN-Impl3D_iseAN-Impl3D_ise_all
12:44:57 (snpslmd) APACMAPATDAPCS
12:44:57 (snpslmd) APDPAPEX20KAPEX20KC
12:44:57 (snpslmd) APEX20KEAPEXIIAPGA4AP
12:44:57 (snpslmd) APGACSAPGADPAPGAHPO
12:44:57 (snpslmd) APGASolarAPGASolarIIAPGATime
12:44:57 (snpslmd) APGApwrAPHPOAPPower
12:44:57 (snpslmd) APSolarAPSolarIIAPTime
12:44:57 (snpslmd) APXtalkAPpwrARKOS-A
12:44:57 (snpslmd) ARKOS-BARKOS-CARKOS-D
12:44:57 (snpslmd) ARKOS-EARKOS-IceARKOS-Mcomp
12:44:57 (snpslmd) ARKOS-RtlcompARKOS-ScompARKOS-Simul
12:44:57 (snpslmd) AstroAstro-ExpressAstro-MV
12:44:57 (snpslmd) Astro-RailAstro-XtalkAstroBeta
12:44:57 (snpslmd) AstroExpAstroGAAstroRail
12:44:57 (snpslmd) AstroRailSAAstroSpecialBeta AstroXtalk
12:44:57 (snpslmd)
12:44:57 (snpslmd) Licenses are case sensitive for TE_CATS
12:44:57 (snpslmd)
12:44:57 (snpslmd) EXTERNAL FILTERS are OFF
12:44:57 (lmgrd) snpslmd using TCP-port 51446
12:44:57 (snpslmd) Serving features for the following vendor names:
snpslmdACADadalmdanagramarcdarchprodavantdCADABRAchrysalisdcowaredEPICevedeverestextremedhscdinnologdISE-TCADdknightsla_dmonledamagmametasoftdmwflexdnassdnsysnvsnumeritchdpdldperflmdriodsaber_dmnsandworksclmgrdsigmacdslatsnpsOEM1snpsOEM2snpsOEM3snpsOEM4snslmgrdssilmdsynforadsynopsysdsynplctydtargetldTAVERENTE_CATStmaldvastlmdvcsd
08/01/2017 12:44:58 (snpslmd) ------------------------------------------------------------------
08/01/2017 12:44:58 (snpslmd) Checking the integrity of the license file....
08/01/2017 12:44:58 (snpslmd) Valid SSS feature found.
08/01/2017 12:44:58 (snpslmd) The feature is needed to enable the other keys in your license file.
08/01/2017 12:44:58 (snpslmd) Licensed to mammoth//ZWT 2006
08/01/2017 12:44:58 (snpslmd) Siteid: 19688, Server Hostid: 708bcd4c981b, Issued on: 12/28/2012
08/01/2017 12:44:58 (snpslmd) ------------------------------------------------------------------
12:45:03 (snpslmd) Failed to open socket to lmgrd, Exiting
12:45:03 (snpslmd) EXITING DUE TO SIGNAL 37 Exit reason 5
12:45:03 (lmgrd) snpslmd exited with status 37 (Communications error)
12:45:03 (lmgrd) Since this is an unknown status, license server
12:45:03 (lmgrd) manager (lmgrd) will attempt to re-start the vendor daemon.
12:45:03 (lmgrd) REStarted snpslmd (internet tcp_port 37571 pid 25246)
12:45:03 (snpslmd) FlexNet Licensing version v11.12.1.2 build 152538 x64_lsb
12:45:03 (snpslmd) lmgrd version 11.6, snpslmd version 11.12

小编后来解决了吗

thankss

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top