微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 综合技术问答 > EDA使用问答 > vcs simulation 问题

vcs simulation 问题

时间:03-15 整理:3721RD 点击:
os用centos 7.4, vcs: 2017-03-SP2
top.v:
module top;
reg clk;
initial begin
clk = 0;
repeat (100) begin
#10;
clk = ~clk;
end
end
endmodule
compile 命令:vcs top.v -full64
sim命令:simv -l simv.log
运行结果:
Command line: simv -l simv.log
--- Stack trace follows:
Dumping VCS Annotated Stack:
#00x00002aaab216ae09 in wAItpid () from /lib64/libc.so.6
#10x00002aaab20edcc2 in do_system () from /lib64/libc.so.6
#20x00002aaab20ee071 in system () from /lib64/libc.so.6
#30x00002aaaab6be76b in SNPSle_10ee25eff68cd8461c9146fa1d0b35e87067f3c8015b313e639d2928478c79b3f673f99203bcf8be64600612100082236bffb2007f1e0ef9 () from /eda/synopsys/vcs-mx/M-2017.03-SP2-1/linux64/lib/liberrorinf.so
#40x00002aaaab6bfe06 in SNPSle_10ee25eff68cd8461c9146fa1d0b35e87067f3c8015b313efba706aab251478fa49e66610e453774633a6c152e7ef778f2202cda681f3d4e () from /eda/synopsys/vcs-mx/M-2017.03-SP2-1/linux64/lib/liberrorinf.so
#50x00002aaaab6b9245 in SNPSle_d35ca1ff70d465c2b9b1a72eee90a506fdd009d3de3db1de () from /eda/synopsys/vcs-mx/M-2017.03-SP2-1/linux64/lib/liberrorinf.so
#60x00002aaaadcb7958 in SNPSle_64133461705005bb725549e2e6fa1b3f () from /eda/synopsys/vcs-mx/M-2017.03-SP2-1/linux64/lib/libvcsnew.so
#70x00002aaaadb1af8e in SNPSle_82244d58c54c18c70d63edc9becab634 () from /eda/synopsys/vcs-mx/M-2017.03-SP2-1/linux64/lib/libvcsnew.so
#90x00002aaaaba09770 in mem_free () from /eda/synopsys/vcs-mx/M-2017.03-SP2-1/linux64/lib/libsnpsmalloc.so
#10 0x00002aaaab9ead24 in snpsCheckFreeFunc () from /eda/synopsys/vcs-mx/M-2017.03-SP2-1/linux64/lib/libsnpsmalloc.so
#11 0x00002aaaad95e8e7 in SNPSle_77e776236473f4bc83d28b2811172395 () from /eda/synopsys/vcs-mx/M-2017.03-SP2-1/linux64/lib/libvcsnew.so
#12 0x00002aaaad95a3df in SNPSle_4e2b4bf1677349c165275718f4085a72 () from /eda/synopsys/vcs-mx/M-2017.03-SP2-1/linux64/lib/libvcsnew.so
#13 0x00002aaaad72ea31 in SNPSle_e08561af70b15158c1effee38014f2e6 () from /eda/synopsys/vcs-mx/M-2017.03-SP2-1/linux64/lib/libvcsnew.so
#14 0x00002aaaad72eb3e in SNPSle_6a600e65c1e59889d2167a3056e08869 () from /eda/synopsys/vcs-mx/M-2017.03-SP2-1/linux64/lib/libvcsnew.so
#15 0x00002aaaad72eb94 in SNPSle_79019f86c02f3a7a4fc861b56b22e07a449f142e33a64a009cd88060dca82713b97bc310751f5b0c () from /eda/synopsys/vcs-mx/M-2017.03-SP2-1/linux64/lib/libvcsnew.so
#16 0x00002aaab188de25 in start_thread () from /lib64/libpthread.so.0
#17 0x00002aaab21a434d in clone () from /lib64/libc.so.6
#00x00002aaab216b1ad in nanosleep () from /lib64/libc.so.6
#10x00002aaab216b044 in sleep () from /lib64/libc.so.6
#20x00002aaaad7096ae in SNPSle_95ae9cc2e78cc668673c60b8d88c4908 () from /eda/synopsys/vcs-mx/M-2017.03-SP2-1/linux64/lib/libvcsnew.so
#30x00002aaaad709839 in SNPSle_92de4d0d4cf0d6931bc37e8d42a01d93 () from /eda/synopsys/vcs-mx/M-2017.03-SP2-1/linux64/lib/libvcsnew.so
#40x00002aaaad707e8a in SNPSle_b76ef993ee82b3d58a5cadddbec8b67c () from /eda/synopsys/vcs-mx/M-2017.03-SP2-1/linux64/lib/libvcsnew.so
#50x00002aaaad708136 in SNPSle_f28f24b8c84ac8f6e02e0b03bcd33aa8 () from /eda/synopsys/vcs-mx/M-2017.03-SP2-1/linux64/lib/libvcsnew.so
#60x00002aaaad6f292e in SNPSle_b02289328df49303de0a4a986839ba71c0ee578302ca9ac8 () from /eda/synopsys/vcs-mx/M-2017.03-SP2-1/linux64/lib/libvcsnew.so
#70x00002aaaad6f34c2 in SNPSle_1bc1de7a7892c3dc9ed9fc9f718684af () from /eda/synopsys/vcs-mx/M-2017.03-SP2-1/linux64/lib/libvcsnew.so
#80x00002aaaad6f4f30 in SNPSle_e376be325e61b493d6bd988d8077bacc () from /eda/synopsys/vcs-mx/M-2017.03-SP2-1/linux64/lib/libvcsnew.so
#90x00002aaaad6f5afc in SNPSle_a10369bdf7c5916ddd6866ce7e2e861c () from /eda/synopsys/vcs-mx/M-2017.03-SP2-1/linux64/lib/libvcsnew.so
#10 0x00002aaaad6f84be in SNPSle_00b1acee80c770570cd75f9efbe24cca () from /eda/synopsys/vcs-mx/M-2017.03-SP2-1/linux64/lib/libvcsnew.so
#11 0x00002aaaad6f8d5c in SNPSle_ba11b1edbd04051f5bb81b1861cdf84a () from /eda/synopsys/vcs-mx/M-2017.03-SP2-1/linux64/lib/libvcsnew.so
#12 0x00002aaaad6e5fa2 in SNPSle_2e65c0794628fc5af60953149776c29b () from /eda/synopsys/vcs-mx/M-2017.03-SP2-1/linux64/lib/libvcsnew.so
#13 0x00002aaaad6e2d14 in SNPSle_541f757be362289a7c9e5618c0ff28327846f8d3cc02839f () from /eda/synopsys/vcs-mx/M-2017.03-SP2-1/linux64/lib/libvcsnew.so
#14 0x00002aaaad6dd2cc in SNPSle_c0de1345d5ab80930e06dd2b68f214c3 () from /eda/synopsys/vcs-mx/M-2017.03-SP2-1/linux64/lib/libvcsnew.so
#15 0x00002aaaad6dd48d in SNPSle_25cd5712eacded5feaee03dc3430943e () from /eda/synopsys/vcs-mx/M-2017.03-SP2-1/linux64/lib/libvcsnew.so
#16 0x00002aaaadcd4663 in SNPSle_490598bfebcc8e8183ad3550288b1f82 () from /eda/synopsys/vcs-mx/M-2017.03-SP2-1/linux64/lib/libvcsnew.so
#17 0x00002aaaadce10d3 in SNPSle_490598bfebcc8e81 () from /eda/synopsys/vcs-mx/M-2017.03-SP2-1/linux64/lib/libvcsnew.so
#18 0x0000000000405c27 in main ()
No context available
这个怎么解决啊?



you can find snpslmd in the $scl_install_directory/bin of scl.
copy full_path/snpslmd after DAEMON snpslmd.

Please share vcs-mx_vM-2017.03-SP2-1



我可以解决。


是license问题还是gcc问题?可以讲解一下吗?



谢谢帮助,已经解决了,是license generate以后,没把snpslmd的路径加上去。



怎么把路径加上去啊 我也是同样的问题


e.g.
DAEMON snpslmd /usr/eda/syn/scl/scl_v10.9.3/amd64/bin/snpslmd

thanks for the answer

涨知识了

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top