微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 综合技术问答 > EDA使用问答 > modelsim-altera无法仿真quartus的IP核!求解决方法

modelsim-altera无法仿真quartus的IP核!求解决方法

时间:03-15 整理:3721RD 点击:
quartus II是12.0 ,modelsim-altera ase是配套的 10.0d.
仿真时出现下面的错误提示:
** Error: (vsim-3033) E:/workspace/Jtag/OCD/OCD2012-12-10/OCDonDE2_115_tbtest/cm_controller.v(85): Instantiation of 'altsyncram' fAIled. The design unit was not found.
#Region: /tb_JTAG_cpu/UUT/u2/CM/controlm
#Searched libraries:
#E:\workspace\Jtag\OCD\OCD2012-12-10\OCDonDE2_115_tbtest\simulation\modelsim\rtl_work
# Loading work.MEM_BSC
# Loading work.mainMemory
# Loading work.mainmem
# ** Error: (vsim-3033) E:/workspace/Jtag/OCD/OCD2012-12-10/OCDonDE2_115_tbtest/mainmem.v(85): Instantiation of 'altsyncram' failed. The design unit was not found.
#Region: /tb_JTAG_CPU/UUT/u2/MM0/MM1
#Searched libraries:
#E:\workspace\Jtag\OCD\OCD2012-12-10\OCDonDE2_115_tbtest\simulation\modelsim\rtl_work

求指教,有没有人知道是什么原因!

模块例化失败,应该是你的IP核生成的文件和你要仿真的模块不在同一个工程或目录中。你是直接调用modelsim仿真还是在modelsim中新建工程仿真的?


对啊,我现在也碰到这么个纠结的问题,我用QuartusII直接调用ModelSim的话就没问题,但若是在modelsim下新建工程,就出现小编这样的提示了,不知道什么原因,请问,如何在modelsim下新工程并且排除这个错误而正确仿真呢?谢谢!

刚在网上找到的解决方案:modelsim-altera里面应该可以看到alteta的库,默认只查找work库的内容。
步骤:start simulate 弹出窗口,libraries 卡项添加查找库,不知道在哪里就把相关的都添加进来。
我添加了:220model,altera_mf,altera,cyconeii.。仿真了一个带altsyncram ip的模块,成功仿真。



额真是抱歉啊,没回来说一下。我当时是用4楼的方法做的,不过是通过IP核的帮助文档里面找到了所在的库文件!谢谢了!

新人同问



你参考一下4楼的方法,我的方法和他的差不多

我去!

这个问题我遇到过,很好解决的 QQ564343020

没有那么麻烦,直接重新打开modelsim,点击compile,compile,把Quartus里的相对应的.v文件编译一下,work里就会有相应的库文件,调用时就OK了

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top