微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 综合技术问答 > EDA使用问答 > cadence error Hit kit

cadence error Hit kit

时间:03-15 整理:3721RD 点击:
please help me I don't know what is the problem It is full technology.



Cadence (R) virtuoso (R) Spectre (R) Circuit Simulator
Version 15.1.0.284.isr1 64bit -- 12 Nov 2015
Copyright (C) 1989-2015 Cadence Design Systems, Inc. All rights reserved worldwide. Cadence, Virtuoso and Spectre are registered trademarks of Cadence Design Systems, Inc. All others are the property of their respective holders.
Includes RSA BSAFE(R) Cryptographic or Security Protocol Software from RSA Security, Inc.

MemoryavAIlable: 322.8098 MBphysical: 4.1111 GB
Linux: Ubuntu 14.04.5 LTS
cpu Type: Intel(R) Core(TM)2 Duo CPUP8700@ 2.53GHz
All processors running at 2534.0 MHz
Socket: Processors
0:0,1
System load averages (1min, 5min, 15min) : 41.0 %, 51.5 %, 55.5 %

Simulating `input.scs' on Mohamed at 7:15:26 PM, Tue Jan 3, 2017 (process id: 32341).
Current working directory: /home/mohamed/simulation/CS_amp/spectre/schematic/netlist
Environment variable:
SPECTRE_DEFAULTS=-E
Command line:
/opt/cadence/installs/MMSIM151/tools.lnx86/bin/spectre -64\
input.scs +escchars +log ../psf/spectre.out +inter=mpsc\
+mpssession=spectre0_31979_1 -format psfxl -raw ../psf\
+lqtimeout 900 -maxw 5 -maxn 5
spectre pid = 32341
Loading /opt/cadence/installs/MMSIM151/tools.lnx86/cmi/lib/64bit/5.0/libinfineon_sh.so ...
Loading /opt/cadence/installs/MMSIM151/tools.lnx86/cmi/lib/64bit/5.0/libphilips_o_sh.so ...
Loading /opt/cadence/installs/MMSIM151/tools.lnx86/cmi/lib/64bit/5.0/libphilips_sh.so ...
Loading /opt/cadence/installs/MMSIM151/tools.lnx86/cmi/lib/64bit/5.0/libsparam_sh.so ...
Loading /opt/cadence/installs/MMSIM151/tools.lnx86/cmi/lib/64bit/5.0/libstmodels_sh.so ...
Reading file:/home/mohamed/simulation/CS_amp/spectre/schematic/netlist/input.scs
Reading file:/opt/cadence/installs/MMSIM151/tools.lnx86/spectre/etc/configs/spectre.cfg
Reading file:/usr/include/stdc-predef.h
Reading file:/home/mohamed/Ingenuity/EDA_Works/AMS350/spectre/c35/soac/cmos53.scs
Reading file:/home/mohamed/Ingenuity/EDA_Works/AMS350/spectre/c35/soac/res.scs
Time for NDB Parsing: CPU = 257.844 ms, elapsed = 407.37 ms.
Time accumulated: CPU = 279.52 ms, elapsed = 407.378 ms.
Peak resident memory used = 40.6 Mbytes.

The CPU load for active processors is :
Spectre0 (100.0 %)1 (79.5 %)
Other
Error found by spectre in `modn', during circuit read-in.
ERROR (SFE-23): "/home/mohamed/Ingenuity/EDA_Works/AMS350/spectre/c35/soac/cmos53.scs" 28: The instance `soac_m1' is referencing an undefined model or subcircuit, `modn_ahdl'. Either include the file containing the definition of `modn_ahdl', or define `modn_ahdl' before running the simulation.
Error found by spectre in `rpolyh', during circuit read-in.
ERROR (SFE-23): "/home/mohamed/Ingenuity/EDA_Works/AMS350/spectre/c35/soac/res.scs" 237: The instance `soac_m1' is referencing an undefined model or subcircuit, `rpolyh_ahdl'. Either include the file containing the definition of `rpolyh_ahdl', or define `rpolyh_ahdl' before running the simulation.
Reading link:/opt/cadence/installs/MMSIM151/tools.lnx86/spectre/etc/ahdl/discipline.h
Reading file:/opt/cadence/installs/MMSIM151/tools.lnx86/spectre/etc/ahdl/disciplines.vams
Reading link:/opt/cadence/installs/MMSIM151/tools.lnx86/spectre/etc/ahdl/constants.h
Reading file:/opt/cadence/installs/MMSIM151/tools.lnx86/spectre/etc/ahdl/constants.vams
Opening directory input.ahdlSimDB/ (775)
Opening directory input.ahdlSimDB//bsource_2756cf.va.bsource_2756cf.ahdlcmi/ (775)
Opening directory input.ahdlSimDB//bsource_2756cf.va.bsource_2756cf.ahdlcmi/Linux-64/ (775)
Compiling ahdlcmi module library.
Finished compilation in 2.24 s (elapsed).
Installed compiled interface for bsource_2756cf.
Error found by spectre in `modn':`MN2', during hierarchy flattening.
ERROR (SFE-1996): "/home/mohamed/Ingenuity/EDA_Works/AMS350/spectre/c35/soac/cmos53.scs" 27: Parameter `dvthmat': Cannot run the simulation because an unknown parameter `mv_modn53' has been specified in expression `(mv_modn53+mmvth)*(0.67e-08/sqrt(l*w*ng))'. Correct the expression and rerun the simulation.
ERROR (SFE-1996): "/home/mohamed/Ingenuity/EDA_Works/AMS350/spectre/c35/soac/cmos53.scs" 27: Parameter `dumat': Cannot run the simulation because an unknown parameter `mu_modn53' has been specified in expression `(mu_modn53+mmu0)*(4.9e-9/sqrt(l*w*ng))'. Correct the expression and rerun the simulation.
Error found by spectre in `rpolyh':`R0', during hierarchy flattening.
ERROR (SFE-1996): "/home/mohamed/Ingenuity/EDA_Works/AMS350/spectre/c35/soac/res.scs" 235: Parameter `dmat': Cannot run the simulation because an unknown parameter `mr_rpolyh' has been specified in expression `(mr_rpolyh+mmw)*(4.6e-08/sqrt(l*w))'. Correct the expression and rerun the simulation.

Time for Elaboration: CPU = 92.339 ms, elapsed = 2.3369 s.
Time accumulated: CPU = 372.115 ms, elapsed = 2.74454 s.
Peak resident memory used = 55.1 Mbytes.

Aggregate audit (7:15:29 PM, Tue Jan 3, 2017):
Time used: CPU = 372 ms, elapsed = 2.75 s, util. = 13.6%.
Time spent in licensing: elapsed = 169 ms, percentage of total = 6.17%.
Peak memory used = 55.2 Mbytes.
Simulation started at: 7:15:26 PM, Tue Jan 3, 2017, ended at: 7:15:29 PM, Tue Jan 3, 2017, with elapsed time (wall clock): 2.75 s.
spectre completes with 5 errors, 0 warnings, and 0 notices.
spectre terminated prematurely due to fatal error.

仿真模型不对呃

Please What SHOULD the I SELECT But
AS CAN See you at The Photo here Wallpaper at The Models in the with the Selected (TM) and the I Which IT IS SO IT IS the Common Sources Simple Circuit Resistor lloaded ! [IMG] [/ IMG]



models


Reply 2 # Toad

But as you can see, this is the models which I used for simple circuit Common source Resistor Loaded
I used
Works/AMS350/spectre/c35/soac/bip.scs
Works/AMS350/spectre/c35/soac/cap.scs
Works/AMS350/spectre/c35/soac/cmos53.scs
Works/AMS350/spectre/c35/soac/ind.scs
Works/AMS350/spectre/c35/soac/mcparams.scs
Works/AMS350/spectre/c35/soac/res.scs

thank you very much,
the solution is I have to include
Works/AMS350/spectre/c35/soac/mcparams.scs
I forgot to add it in the first time

能不能给个教程谢啦

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top