微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 综合技术问答 > EDA使用问答 > Cadence IC 06.16.110( version IC6.1.6.500.11) invoke problem

Cadence IC 06.16.110( version IC6.1.6.500.11) invoke problem

时间:03-15 整理:3721RD 点击:
Hi , Today i installed virtuoso IC06.16.110, Version: IC6.1.6.500.11on centos 6.5 (x86). while i invoke cadence i got the error displayed in snap like. landlord help on this issue

. I attached my bash script
######################### Cadence Stuff#####
######################
exportCDS_ROOT=/eda/cadence/ic616
exportDD_DONT_DO_OS_LOCK=SET
exportCDS_LIC_FILE=5280@eda.localhost
exportLM_LICENSE_FILE=/eda/cadence/ic616/share/license/cadence.dat
alias lmli2='/eda/cadence/ic616/tools/bin/lmgrd -c /eda/cadence/ic616/share/license/cadence.dat -l ~/cds_lic.log'

exportSPECTRE_DEFAULTS=-E
exportCDS_Netlisting_Mode=analog
exportOA_HOME=/eda/cadence/mmsim14/oa_v22.43.038
exportPATH="/eda/cadence/ic616/tools.lnx86/dfII/bin:"$PATH
exportPATH="/eda/cadence/ic616/tools/bin:"$PATH
exportPATH="/eda/cadence/ic616/tools/dfII/bin:"$PATH
exportPATH="/eda/cadence/ic616/share/bin:"$PATH
exportPATH="$CDS_ROOT/share/cdsdoc/bin:"$PATH
exportCDS_LOAD_ENV=CSF
exportPATH="/eda/cadence/mmsim14/tools/bin:"$PATH
exportOA_HOME="/eda/cadence/ic616/oa_v22.43.046"
exportCDS_TEST_LIBPATH=/usr/lib:/lib
#alias enc='/root/eda/cadence/SOC8.1/bin/encounter'
#alias vir='/root/eda/cadence/IC614/tools/dfII/bin/virtuoso'
#export CDK_DIR="/home/hAIn/Desktop/ncsu-cdk-1.6.0.beta"
export CDS_AUTO_64BIT=ALL
export MMSIM_ROOT="/eda/cadence/mmsim14"
export PATH=$MMSIM_ROOT/tools/binPATH
export PATH=$MMSIM_ROOT/tools/dfII/binPATH
export PATH=$MMSIM_ROOT/tools/spectre/binPATH
export PATH=$MMSIM_ROOT/tools/ultrasim/bin:$PATH
export CDS_INST_DIR=/eda/cadence/ic616
export PATH=$CDS_INST_DIR/tools/plot/bin:$PATH
export PATH=$CDS_INST_DIR/tools/dracula/bin:$PATH
export PATH=$CDS_ROOT/tools/dracula/bin:$PATH
export PATH=$CDS_ROOT/tools/plot/bin:$PATH
export PATH=$CDS_ROOT/tools/iccraft/bin:$PATH
export LD_LIBRARY_PATH=/eda/cadence/ic616/tools.lnx86/inca/lib/64bit/:$PATH
######### ########## ASSURA
export ASSURA_HOME="/eda/cadence/assura616"
export ASSURAHOME="/eda/cadence/assura616"
export PATH=$ASSURAHOME/bin:$PATH
export PATH=$ASSURAHOME/tools/bin:$PATH
export PATH=$ASSURAHOME/tools.lnx86/dfII/bin:$PATH
export OA_HOME=/eda/cadence/assura616/oa_v22.43.042
###############################
########## EDI14 ###########
###############################
export EDI_HOME="/eda/cadence/edi14"
export PATH=$EDI_HOME/bin:$PATH
export PATH=$EDI_HOME/tools/bin:$PATH
export PATH=$EDI_HOME/tools.lnx86/dfII/bin:$PATH
export PATH=$EDI_HOME/tools/fe/bin/64bit:$PATH
export OA_HOME=/eda/cadence/edi14/oa_v22.50.011
alias enc="/eda/cadence/edi14/bin/encounter"
###############################
########RTL 14 ##############
###############################
export RTL_HOME="/eda/cadence/rcl14"
export PATH=$RTL_HOME/bin:$PATH
export PATH=$RTL_HOME/tools/synth/bin/64bit:$PATH
export PATH=$RTL_HOME/tools/dfII/bin:$PATH
export PATH=$RTL_HOME/tools/bin:$PATH
export PATH=$RTL_HOME/tools.lnx86/dfII/bin:$PATH
#export OA_HOME=/eda/cadence/edi14/oa_v22.43.031
alias rc="/eda/cadence/rc14/bin/rc"

landlord help on this issue

does any one know

any one know?


1. 注释掉exportOA_HOME,原因参考http://bbs.eetop.cn/thread-479940-1-1.html
2. 注释掉export LD_LIBRARY_PATH
我也不是太懂这些,仅供参考

I heard IC 6.1.7 is out and old ECC medicine now works with this - anyone have tested?

anyone know?

上一篇:My cadence Access Status
下一篇:最后一页

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top