微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 综合技术问答 > EDA使用问答 > cadence中的dracula不能用,请问dracula的设置在哪里

cadence中的dracula不能用,请问dracula的设置在哪里

时间:03-15 整理:3721RD 点击:
cadence中的dracula不能用,请问dracula的设置在哪里?在用dracula做DRC时发现如下错误,请大侠帮忙?不知道到底为什么dracula不能用?




command file 要是unix 文字格式
試試dos2unix 指令

这个问题解决了吗?


这个应该是目录下的.bashrc或者.cshrc设置没有写对,先看下你用的是哪种,然后到设置对就可以了!


您好!非常感谢。我用的是.bashrc,dracula在soft/IC5141USR6/tools/目录下,但还是同样的问题,能否帮我看看问题在哪?谢谢!
# .bashrc
# User specific aliases and functions
# Source global definitions
if [ -f /etc/bashrc ]; then
. /etc/bashrc
fi
export PATH=/soft/bin:/soft:/sbin:/usr/kerberos/sbin:/usr/kerberos/bin:/bin:/usr/bin:/usr/bin/X11:/usr/local/bin:/usr/bin:/usr/X11R6/bin:./
export LD_LIBRARY_PATH=./
export CVSROOT=:ps
#++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
#IC5141USR6
export CDS_LIC_FILE=/soft/bin/license.dat
export CDSDIR=/soft/IC5141USR6/tools.lnx86
export CDS_ROOT=/soft/IC5141USR6
export CDS_INST_DIR=$CDS_ROOT
export CDS_Netlisting_Mode=Analog
export XKEYSYMDB=$CDS_ROOT/share/cdssetup/tdmX11/XKeysymDB
export XNLSPATH=$CDS_ROOT/share/cdssetup/tdmX11/nls
LD_LIBRARY_PATH=$LD_LIBRARY_PATH:/soft/IC5141USR6/tools.lnx86/lib
PATH=$PATHCDSDIR/bin
PATH=$PATHCDSDIR/dfII/bin
PATH=$PATHCDSDIR/SKILL06.00/context
PATH=$PATH:$CDSDIR/SKILL06.10/context
PATH=$PATH:$CDSDIR/dracula/bin
PATH=$PATH:$CDSDIR/plot/bin
PATH=$PATH:/usr/local/netscape
PATH=$PATH:/usr/bin
#++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
#Cadence SOC
export SOC=/soft/SOC08.10
PATH=$PATH:$SOC/tools/bin:$SOC
LD_LIBRARY_PATH=$LD_LIBRARY_PATH:$SOC/tools/lib
#++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
#Synosys Formality
export FM_HOME=/soft/fm2008.03sp3
PATH=$PATH:$FM_HOME/linux/fm/bin
#++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
#Synosys PrimeTime
export PRIMETIME_HOME=/soft/pts2009.06
PATH=$PATH:$PRIMETIME_HOME/linux/syn/bin
export DC_HOME=/soft/dc2009.06sp1
PATH=$PATH:$DC_HOME/bin


#Synosys PrimeTime
export PRIMETIME_HOME=/soft/hspice2008.03sp1
PATH=$PATH:$PRIMETIME_HOME/hspice/bin

#+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
#Mentor Calibre2010.2
exportMGC_HOME=/soft/ixl_cal_2010.2_38.23
PATH=$PATH:$MGC_HOME/bin
#++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
#LICENSE FILE
LM_LICENSE_FILE=27000@linux:37000@linux
export LM_LICENSE_FILE

上一篇:calibre2014 lmgrd求助!
下一篇:最后一页

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top