微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 综合技术问答 > EDA使用问答 > 关于Verdi3 fsdb的问题

关于Verdi3 fsdb的问题

时间:03-15 整理:3721RD 点击:
最近升级verdi到2014.12版本,莫名的报了两个错误,仔细翻阅手册,也没发现什么不对,请问有人遇到过么
reg [31:0] fsdbSwitchLimit;
reg [1024*8-1:0] dumpfile;
reg [1024*8-1:0] dumpdir;
$fsdbAutoSwitchDumpfile(500, {dumpdir, "/", dumpfile}, fsdbSwitchLimit);
*Verdi3* ERROR: The #3 argument is invalid
*Verdi3* ERROR: Syntax - $fsdbAutoSwitchDumpfile(File_Size,"FSDB_Name",Number_of_Files[,"log_filename"]);
*Verdi3* ERROR: The #2 argument is invalid
*Verdi3* ERROR: Syntax - $fsdbDumpvars([depth,][instance][,option]*)

没软件,可以上传吗?


公司的啊,没办法上传

跟你遇到同样的问题,请问解决了吗?

hi
问题已经解决,VCS后面需要增加-debug_pp 选项,然后上面的ERR就没有了

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top