微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 综合技术问答 > EDA使用问答 > VCS编译UVM库出错

VCS编译UVM库出错

时间:03-15 整理:3721RD 点击:
大家好:我用vcs -sverilog +incdir+ ${UVM_HOME}/src ${UVM_HOME}/src/uvm.sv ${UVM_HOME}/src/dpi/uvm_dpi.cc编译uvm1.1d时提示Source file "uvm_pkg.sv" cannot be opened for reading due to 'No such file
or directory'.
Please fix above issue and compile agAIn.
"/home/thinkpad/uvm-1.1d/src/uvm.sv", 23
Source info: `include "uvm_pkg.sv"
可以uvm_pkg.sv明明存在啊?
谢谢啦!

相同問題

再加选项 -y $UVM_HOME+libext+.v+.sv
说实话,我也不知道 -y 和 +incdir有什么区别
但是-y就是有用

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top