微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 综合技术问答 > EDA使用问答 > 安装VCS貌似不成功,请教大家

安装VCS貌似不成功,请教大家

时间:03-15 整理:3721RD 点击:
20:33:57 (snpslmd)
20:33:57 (snpslmd) Licenses are case sensitive for TE_CATS
20:33:57 (snpslmd)
20:33:57 (snpslmd) EXTERNAL FILTERS are OFF
20:33:57 (lmgrd) snpslmd using TCP-port 55651
20:33:57 (snpslmd) Serving features for the following vendor names:
snpslmdACADadalmdanagramarcdarchprodavantdCADABRAchrysalisdcowaredEPICeverestextremedhscdinnologdISE-TCADdla_dmonledamagmametasoftdmwflexdnassdnsysnvsnumeritchdpdldriodsaber_dmnsandworksigmacdslatsnpsOEM1snpsOEM2snpsOEM3snpsOEM4snpsOEM5snpsOEM6snpsOEM7snpsOEM8snpsOEM9ssilmdsynforadsynopsysdsynplctydTAVERENTE_CATStmaldvastlmdvcsd
02/12/2014 20:33:58 (snpslmd) ------------------------------------------------------------------
02/12/2014 20:33:58 (snpslmd) Checking the integrity of the license file....
02/12/2014 20:33:58 (snpslmd) Valid SSS feature found.
02/12/2014 20:33:58 (snpslmd) The feature is needed to enable the other keys in your license file.
02/12/2014 20:33:58 (snpslmd) Licensed to mammoth//ZWT 2006
02/12/2014 20:33:58 (snpslmd) Siteid: <No Site Id>, Server Hostid: 6c626de70c69, Issued on: N/A
02/12/2014 20:33:58 (snpslmd) ------------------------------------------------------------------
[hnxu@missile ~]$ 20:56:57 (snpslmd) TCP_NODELAY NOT enabled
20:56:57 (snpslmd) OUT: "VCSCompiler_Net" hnxu@missile
20:57:01 (snpslmd) IN: "VCSCompiler_Net" hnxu@missile
20:57:01 (snpslmd) OUT: "VCSRuntime_Net" hnxu@missile
20:57:06 (snpslmd) IN: "VCSRuntime_Net" hnxu@missile
启动VCS没成功,请问可能是什么问题?

貌似只有VCS2013不成功,其他的可以安装

类似的问题, 我的只可以跑到这里,就没有然后了
02/12/2014 20:33:58 (snpslmd) The feature is needed to enable the other keys in your license file.



估计木有VCS破解成功

上一篇:looking for mysql
下一篇:最后一页

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top