微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 综合技术问答 > EDA使用问答 > ubuntu版本太高,vcs用不了吗?

ubuntu版本太高,vcs用不了吗?

时间:03-15 整理:3721RD 点击:

我用的ubuntu 12.04, vcs用的2012-09, license什么都安装好了,但仿真的时候报错:Sorry, Linux version 3.8.0-33-generic is not supported, 这是不是以为这只能安装低版本的ubuntu,或者用高版本的vcs吗? 求大侠指教啊!

ok for ubuntu 10.04

改一下vcs那个命令就行,它实际上是一个脚本而已。


这个要如何改呢?

上一篇:sv simulator
下一篇:最后一页

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top