微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 综合技术问答 > EDA使用问答 > 求synopsys.Design.Compiler.2000.v5.nt.能用的db库文件和sdb库文件

求synopsys.Design.Compiler.2000.v5.nt.能用的db库文件和sdb库文件

时间:03-14 整理:3721RD 点击:
如题,如能提供,不胜感激~~最近急需这个

不懂LZ的意思,难道DC2000能用,DC2007就不能用了

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top