微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 嵌入式设计讨论 > FPGA,CPLD和ASIC > ISE Verilog仿真

ISE Verilog仿真

时间:10-02 整理:3721RD 点击:
我使用ISE13.4 Verilog_test_fixture 仿真。我的源程序是模块化设计的,几个子模块间用wire线把输入输出连接,在仿真的时候这些线全为x,这该怎么办?

没搞过,看看了哦,

用modelsim可以仿真的

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top