微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 嵌入式设计讨论 > FPGA,CPLD和ASIC > modelsim仿真如何看内部数据值

modelsim仿真如何看内部数据值

时间:10-02 整理:3721RD 点击:
modelsim仿真时想要观察内部数据(非端口数据)在各个时刻的值,如图移动黄线时最左边的比如num和i的值都不变,然后把num拖动到中间灰色窗口,移动黄线依然显示no data,但是仿真的波形没有问题,这些数据应该都是有正确的值得,就想看看各个时刻他们的值是不是正确,求大神指点


我前几天刚学也是这样,建议写个do文件,可以把信号添加进去,run下就好

你好,我写了一个do文件,但是提示no design loaded,能不能帮我看看是哪里有问题,谢谢


我写了一个,但是有错,你能不能帮我看看哪里有问题,谢谢


我尽量吧,你要把你提示错误的部分让我看啊,我新手

感觉我遇到的问题和你是一样的,就是想看内部信号数据,但是从object窗口拖到wave窗口后显示nodata,你不是说写do文件就可以,我想应该按你做的方法就可以解决,do文件,我也不太会写,不知道哪里错了,照网上说的写的,错误提示发给你了


估计是第10行要改为.vt文件里面的architicture(vhdl)的名字

没有.vt文件啊,能不能具体一点,我看看你写的怎么加进去其他信号波形的可好

好了,我知道了,拉进去再run直接就可以显示了,刚开始弄真捉急啊,很简单个东西弄的,哎

我还没学verilog,我是用vhdl的

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top