微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 嵌入式设计讨论 > FPGA,CPLD和ASIC > FPGA编译不过

FPGA编译不过

时间:10-02 整理:3721RD 点击:
Line 86: Cannot find in library . Please ensure that the library was compiled, and that a library and a use clause are present in the VHDL file.Parsing package .


在网上down的代码,出现了上述问题,总是编译不过,大神们帮帮忙吧

你用modelsim仿真的?好像提示说库文件没有编译,你看看你库文件加进来没

解决了,谢谢了

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top