微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 嵌入式设计讨论 > FPGA,CPLD和ASIC > quartus编译错误

quartus编译错误

时间:10-02 整理:3721RD 点击:
代码如下

  1. --2shuruyufeimen
  2. library ieee;
  3. use ieee.std_logic_1164.all;
  4. entity nand2 is
  5.         port(a,b: in std_logic;
  6.                  y:out std_logic);
  7. end entity nand2;
  8. architecture nand22 of nand2 is
  9. begin
  10. y =ain,b>=bin,y>=e);
  11. u2:nand2 port map(a>=ain,b>=cin,y>=f);
  12. u3:nand2 port map(a>=bin,b>=cin,y>=g);
  13. u4:nand3 port map(a>=e,b>=f,c>=g,y>=yout);
  14. end architecture nand44;

复制代码



错误显示  object a,b,c  is used but not declared

写的是三输入多数表决器

这个代码风格很重要,不要出现拼音

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top