VHDL 错误显示error:can't determine definition of operator rol
时间:10-02
整理:3721RD
点击:
代码如下
- library ieee;
- use ieee.std_logic_1164.all;
- use ieee.std_logic_unsigned.all;
- use ieee.std_logic_arith.all;
- entity yimaqi2 is
- port(a,b,c,e,f,g:in std_logic;
- y:out std_logic_vector(7 downto 0));
- end entity yimaqi2;
- architecture LSyimaqi of yimaqi2 is
- signal sem:std_logic_vector(2 downto 0);
- begin
- sem<=c&b&a;
- process(sem,e,f,g)
- variable h:std_logic_vector(7 downto 0);
- begin
- h:="11111110";
- if(e='1'and f='0'and g='0')then
- y<=h rol conv_integer(sem);
- else
- y<="11111111";
- end if;
- end process;
- end architecture LSyimaqi;
好像是没定义rol,