微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 嵌入式设计讨论 > FPGA,CPLD和ASIC > 求助啊,我的Modelsim就是波形死活不变

求助啊,我的Modelsim就是波形死活不变

时间:10-02 整理:3721RD 点击:
我的步骤如下:
1先建工程,再建VHDL文件,程序如下:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity divclk1 is
Port ( clk : in std_logic;
divclk : out std_logic);
end divclk1;
architecture Behavioral of divclk1 is
signal counter : std_logic_vector(4 downto 0):="00000";
signal tempdivclk: std_logic:='0';
begin
process(clk)
begin
  if clk'event and clk='1' then
if(counter>="11000") then
counter<="00000";
tempdivclk<=not tempdivclk;
else
counter<=counter+'1';
end if;
end if;
end process;
divclk<=tempdivclk;
end Behavioral;

完了是save,在compile All,提示成功。
接下来是simulate。路径是work下的behavioral。
最后调出波形窗
然后输入命令:1、force clk0 0,1 10000-r 20000
               2、run 3us
波形就是没出现变化。大神帮忙啊!


不太会VHDL但是这个貌似不是测试文件吧

不是,我现在已经知道了。谢谢哈!

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top