微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 嵌入式设计讨论 > FPGA,CPLD和ASIC > 关于仿真中出现的毛刺

关于仿真中出现的毛刺

时间:10-02 整理:3721RD 点击:
library ieee;
use ieee.std_logic_1164.all;
entity channel is
  port(
       convert_start: in std_logic;
       ADDR: out std_logic_vector(2 downto 0);
       keyboard: in std_logic_vector(2 downto 0) );
  end entity;
architecture behavior of channel is
  signal d_ADDR:std_logic_vector(2 downto 0);
  begin
  d_ADDR<=keyboard;
process(convert_start)
  begin
  if(convert_start'event and convert_start='1')
  then ADDR<=d_ADDR;
  end if;
end process ;
end behavior;
C:\Users\Administrator\Desktop\tu.png


Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top