微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 嵌入式设计讨论 > FPGA,CPLD和ASIC > 用FPGA实现双口ram的问题

用FPGA实现双口ram的问题

时间:10-02 整理:3721RD 点击:
我想用fpga实现一个双口的ram,有8位的数据和地址线,他们是共享的,分时复用,请问怎么解决这个问题,另外读写冲突的问题怎么解决应该,哪位高手指点一下,谢谢啦。

怎么没有回答的呢?

过来学习滴

分时复用,至少有个使能引脚吧...

过来学习学习啊

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top